0% found this document useful (0 votes)
45 views312 pages

(Manual) Advanced Calibration For Process

The 'Advanced Calibration for Process Simulation User Guide' provides comprehensive instructions for using Synopsys' calibration tools in semiconductor process simulations. It covers various topics including calibration file usage, model switches for materials like silicon and germanium, and detailed parameters for ion implantation. The document also includes legal notices, support information, and a statement on inclusivity and diversity.

Uploaded by

imsubinssy
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
0% found this document useful (0 votes)
45 views312 pages

(Manual) Advanced Calibration For Process

The 'Advanced Calibration for Process Simulation User Guide' provides comprehensive instructions for using Synopsys' calibration tools in semiconductor process simulations. It covers various topics including calibration file usage, model switches for materials like silicon and germanium, and detailed parameters for ion implantation. The document also includes legal notices, support information, and a statement on inclusivity and diversity.

Uploaded by

imsubinssy
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd

Advanced Calibration for Process

Simulation User Guide


Version V-2024.03, March 2024
Copyright and Proprietary Information Notice
© 2024 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and
may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other
use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly
prohibited.
Destination Control Statement
All technical data contained in this publication is subject to the export control laws of the United States of America.
Disclosure to nationals of other countries contrary to United States law is prohibited. It is the reader’s responsibility to
determine the applicable regulations and to comply with them.
Disclaimer
SYNOPSYS, INC., AND ITS LICENSORS MAKE NO WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, WITH
REGARD TO THIS MATERIAL, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE.
Trademarks
Synopsys and certain Synopsys product names are trademarks of Synopsys, as set forth at
[Link]
All other product or company names may be trademarks of their respective owners.
Free and Open-Source Licensing Notices
If applicable, Free and Open-Source Software (FOSS) licensing notices are available in the product installation.
Third-Party Links
Any links to third-party websites included in this document are for your convenience only. Synopsys does not endorse
and is not responsible for such websites and their practices, including privacy practices, availability, and content.

[Link]

Advanced Calibration for Process Simulation User Guide 2


V-2024.03
Contents

Conventions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14
Customer Support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14
Statement on Inclusivity and Diversity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15

Part I: Advanced Calibration in Sentaurus Process

1. Using Advanced Calibration File of Sentaurus Process . . . . . . . . . . . . . . 17


Location of Advanced Calibration File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17
Using Advanced Calibration. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18
Optional Modules . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18
Additional Calibration by Users . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20
Earlier Versions of Advanced Calibration. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21
Changes in Advanced Calibration From V-2023.12 to V-2024.03 . . . . . . . . . . 23
Backward Compatibility . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23
Advanced Calibration File for Kinetic Monte Carlo Simulations. . . . . . . . . . . . 24
Sentaurus Workbench Splits: Saving in TDR Format . . . . . . . . . . . . . . . . . . . 24
References. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25

2. Advanced Calibration for Silicon, SiGe, and Germanium . . . . . . . . . . . . . 26


Part 1: Basic Model Switches . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27
Diffusion Models in Silicon and Germanium . . . . . . . . . . . . . . . . . . . . . . 27
Dopant Cluster Models in Silicon and Germanium . . . . . . . . . . . . . . . . . 28
Defect Cluster Models in Silicon and Germanium . . . . . . . . . . . . . . . . . . 30
Poisson Equation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31
Channeling Dose in Analytic Implantations . . . . . . . . . . . . . . . . . . . . . . . 32
Boundary Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32
Numeric Solver. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33
Summary of Model Switches . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33
Part 2: Constant Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35

3
Contents

Basic Point-Defect Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36


Bulk Parameters for Free Interstitials . . . . . . . . . . . . . . . . . . . . . . . . 36
Bulk Parameters for Free Vacancies . . . . . . . . . . . . . . . . . . . . . . . . 37
Bulk Recombination of Point Defects . . . . . . . . . . . . . . . . . . . . . . . . 37
Boundary Conditions for Point Defects . . . . . . . . . . . . . . . . . . . . . . 38
Oxidation-Enhanced Diffusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38
Transient-Enhanced Diffusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39
Boron Diffusion and Activation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40
Boron Diffusion Coefficient . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40
Effect of Fluorine . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41
Boron Clustering. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41
Boron Dose Loss . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44
Fluorine . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45
Nitrogen . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46
Arsenic Diffusion and Activation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 47
Arsenic Diffusivity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 47
Arsenic Clusters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 47
Arsenic Dose Loss . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 48
Phosphorus Diffusion and Activation . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49
Phosphorus Diffusivity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49
Phosphorus Clusters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49
Phosphorus Dose Loss . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52
Arsenic–Phosphorus Co-Diffusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53
Indium Parameters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55
Antimony Parameters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55
Carbon Diffusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56
Carbon Diffusivity in Silicon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56
Carbon Clustering in Silicon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56
Carbon in Germanium . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57
Intrinsic Carrier Concentration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57
Oxidation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58
Massoud Model Parameters for Wet Oxidation of Silicon . . . . . . . . 58
Parameters for Wet and Dry Oxidation of SiGe . . . . . . . . . . . . . . . . 58
Oxidation of Silicon in N2O Ambient. . . . . . . . . . . . . . . . . . . . . . . . . 59
Smoothing of Amorphous–Crystalline Interface . . . . . . . . . . . . . . . . . . . . 60
Selecting Implantation Tables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 60
Effect of Germanium and Stress . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61
Arguments of SiGe_and_Stress_Effect . . . . . . . . . . . . . . . . . . . . . . . . . . 62
Ge Chemical Effect (Ge_Chem_Eff) . . . . . . . . . . . . . . . . . . . . . . . . 62
Stress Effect (Stress_Eff) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62
Segregation at Si–SiGe Interface (Segreg_Model) . . . . . . . . . . . . . 63
Effect of Strained Overlayers (Strained_Overlayer) . . . . . . . . . . . . . 64

4
Contents

Implementation of SiGe_and_Stress_Effect . . . . . . . . . . . . . . . . . . . . . . 64
Chemical SiGe Alloy Effects. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64
Ge Diffusion Along the Oxide–SiGe Interface . . . . . . . . . . . . . . . . . 70
Stress Effects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 71
Segregation at Si–SiGe Interface (Segreg_Model) . . . . . . . . . . . . . 77
Effect of Strained Overlayers (Strained_Overlayers) . . . . . . . . . . . . 79
Part 3: Ion Implantation and Initial Conditions . . . . . . . . . . . . . . . . . . . . . . . . . 79
User-Defined Defect Initialization. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80
impPostProcess_AdvCal . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81
Scaling Factors for Point Defects and Damage . . . . . . . . . . . . . . . . 81
Values for Initial Dopant Activation . . . . . . . . . . . . . . . . . . . . . . . . . . 83
Sum of As-Implanted Point Defects and Crystal Damage . . . . . . . . 84
Subroutines for Setting ifactor and dfactor. . . . . . . . . . . . . . . . . . . . . . . . 84
ifactor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 85
vfactor. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 86
dfactor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 86
Thermal Implantations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 87
Scope of Calibration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 88
Debye Temperature, Electronic Stopping, and Damage . . . . . . . . . . . . . 89
Initial Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 90
Implantation Preprocessing and Postprocessing . . . . . . . . . . . . . . . . . . . 90
Analytic Implantation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 90
Monte Carlo Implantation (General) . . . . . . . . . . . . . . . . . . . . . . . . . 91
Carborane . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 95
Part 4: Comprehensive and Slow Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96
Interstitial Clusters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97
Boron–Interstitial Clusters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 99
Arsenic Parameters in AdvancedModels . . . . . . . . . . . . . . . . . . . . . . . . . 100
Phosphorus Parameters in AdvancedModels . . . . . . . . . . . . . . . . . . . . . 100
ChargedCluster Model for Indium . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101
Fluorine Diffusion and Clustering . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101
Carbon Diffusion and Clustering . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 102
Nitrogen Diffusion and Clustering . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 102
Solid Phase Epitaxial Regrowth . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 103
Recrystallization Speed . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 104
Doping Redistribution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 106
Melting Laser Anneal . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 109
Using AdvancedMLAModel for MLA Simulation . . . . . . . . . . . . . . . . 109
Seed Term . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 110
Settings in AdvancedMLAModel. . . . . . . . . . . . . . . . . . . . . . . . . . . . 111
Meshing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 113

5
Contents

Thermodynamics of Silicon, Germanium, and SiGe . . . . . . . . . . . . 113


Silicon Absorptivity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 115
MLA Calibration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 115
MLA Calibration for SiGe . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 118
Limitations of MLA Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 119
Part 5: Accelerating Simulations for Power Technologies . . . . . . . . . . . . . . . . 119
Using AdvancedPowerDeviceMode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121
Variant 1: Explicitly Reverting to Standard Models. . . . . . . . . . . . . . 121
Variant 2: Automatically Reverting to Standard Models . . . . . . . . . . 121
Contents of AdvancedPowerDeviceMode . . . . . . . . . . . . . . . . . . . . . . . . 122
Increased Time Steps, Deposition Steps, and Temperature Steps . 122
Simplified Physics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 123
Speedup Methods Not Included in AdvancedPowerDeviceMode . . 123
References. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 124

3. Guidelines for Additional Calibration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 139


Accuracy and Limitations of Advanced Calibration of Sentaurus Process. . . . 139
Error Control. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 140
Point Defects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 140
Bulk Parameters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 141
Surface Boundary Conditions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 141
Oxidation-Enhanced Diffusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 142
Clusters of Interstitials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 142
Vacancy Clusters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 144
Boron Diffusion and Clustering . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 144
Diffusion and Pairing in Silicon. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 144
Migration Distance (for ChargedReact Model) . . . . . . . . . . . . . . . . . 146
Effect of Fluorine . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 147
Boron Clustering and Activation . . . . . . . . . . . . . . . . . . . . . . . . . . . . 148
Boron Dose Loss . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 152
Boron-Doped Epitaxial SiGe Films With Boron Implantation . . . . . . 152
Arsenic Diffusion and Activation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 155
Arsenic-Doped Epitaxy. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 156
Phosphorus Diffusion and Activation . . . . . . . . . . . . . . . . . . . . . . . . . . . . 158
Phosphorus Diffusion in Silicon . . . . . . . . . . . . . . . . . . . . . . . . . . . . 158
Phosphorus Activation in Silicon . . . . . . . . . . . . . . . . . . . . . . . . . . . 159
Phosphorus Dose Loss at Oxide–Silicon Interfaces . . . . . . . . . . . . 159
Phosphorus-Doped Epitaxial Silicon . . . . . . . . . . . . . . . . . . . . . . . . 159
Co-diffusion of Arsenic and Phosphorus . . . . . . . . . . . . . . . . . . . . . . . . . 163
Indium Diffusion and Activation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 168
Nonamorphizing Condition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 168

6
Contents

Amorphizing Ion Implantation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 168


SPER Model Usage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 169
Antimony Diffusion and Activation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 171
Carbon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 172
Carbon–Interstitial Clusters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 172
Carbon–Boron Clusters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 173
Impact of Carbon on Hole Mobility . . . . . . . . . . . . . . . . . . . . . . . . . . 173
Molecular Implantation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 174
Analytic Implantation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 175
Sentaurus MC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 175
Fluorine Diffusion and Clustering . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 176
Nitrogen Diffusion and Clustering . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 177
Diffusion in Strained Silicon and Silicon Germanium . . . . . . . . . . . . . . . . 178
As-Implanted Dopant Profiles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 179
Coimplantation Model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 180
Preamorphization Implantation Model . . . . . . . . . . . . . . . . . . . . . . . 180
Cold and Hot Implantation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 180
Dose Loss at Silicon–Oxide Interfaces . . . . . . . . . . . . . . . . . . . . . . . . . . 181
Calibration of ThreePhaseSegregation Model . . . . . . . . . . . . . . . . . 182
Oxidation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 183
Bird’s Beak in CMOS Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 183
Diffusion in Polysilicon and Out-Diffusion From Polysilicon . . . . . . . . . . . 184
Dopant Penetration Through Gate Oxide. . . . . . . . . . . . . . . . . . . . . . . . . 184
Diffusion and Activation in Germanium . . . . . . . . . . . . . . . . . . . . . . . . . . 185
Performing Additional Calibration. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 185
Calibration Procedure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 185
Fine-Tuning Parameters Defined in Callback Procedures . . . . . . . . . . . . 186
Loading a User Calibration File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 187
Recommendations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 188
Miscellaneous. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 188
Lateral Diffusion Along Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . 189
Example of a User Calibration File. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 189
Recommended Numeric Settings for Monte Carlo Implantation . . . . . . . . . . . 191
Monte Carlo Pocket Implantation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 191
Monte Carlo Source/Drain Implantation . . . . . . . . . . . . . . . . . . . . . . . . . . 193
Additional Calibration for Power Technologies. . . . . . . . . . . . . . . . . . . . . . . . . 193
Calibration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 194
Oxide Thickness and Oxide Shape . . . . . . . . . . . . . . . . . . . . . . . . . 194
Ion Implantation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 194
Initial Conditions After Implantation . . . . . . . . . . . . . . . . . . . . . . . . . 194

7
Contents

Thermal Annealing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 195


Meshing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 195
Recommendations for Bulk Refinement With Adaptive Meshing . . . 196
Refinement at Material Interfaces . . . . . . . . . . . . . . . . . . . . . . . . . . 198
Calibration of Wet Etching Rate Modification by Ion Implantation . . . . . . . . . . 198
Calibration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 198
Application . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 200
References. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 201

4. Advanced Calibration for 4H-SiC Process Simulation . . . . . . . . . . . . . . . . 205


Content of Advanced Calibration File for 4H-SiC Simulation . . . . . . . . . . . . . . 205
Part 1: Basic Model Switches . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 205
Poisson Equation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 206
Dopant Cluster Models in 4H-SiC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 207
Dopant Transport at the Oxide–SiC Interface . . . . . . . . . . . . . . . . . . . . . 208
Boron Evaporation From the SiC Surface . . . . . . . . . . . . . . . . . . . . . . . . 208
Part 2: Settings for Monte Carlo Implantation . . . . . . . . . . . . . . . . . . . . . . . . . 209
Parameters Used in the Calibration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 210
[Link], [Link] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 211
DebyeTemperature, [Link] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 211
[Link], [Link], [Link] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 212
[Link], [Link]. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 212
[Link], [Link] . . . . . . . . . . . . . . . . . . . . . . . 213
Recommendations for Fine-Tuning Amorphization . . . . . . . . . . . . . . . . . 213
Illustration of Calibration Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 214
Part 3: Comprehensive Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 214
Point Defects in SiC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 214
Calibration for Carbon Vacancies and Carbon Interstitials. . . . . . . . . . . . 215
Contents of PointDefectModel Procedure . . . . . . . . . . . . . . . . . . . . 216
Calibration of Oxidation Rates (Restricted) . . . . . . . . . . . . . . . . . . . . . . . . . . . 217
References. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 218

Part II: Advanced Calibration in Sentaurus Process Kinetic Monte Carlo

5. Using Advanced Calibration File of Sentaurus Process KMC. . . . . . . . . . 223


Location of Advanced Calibration File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 223

8
Contents

Using Advanced Calibration. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 224


Additional Calibration by Users . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 225

6. Contents of Advanced Calibration of Sentaurus Process KMC . . . . . . . . 226


Overview of Advanced Calibration File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 226
Supported Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 227
Part 1: Model Parameters for Implantation Damage and Point Defects . . . . . 228
Amorphization and Recrystallization . . . . . . . . . . . . . . . . . . . . . . . . . . . . 228
Amorphous Silicon and Germanium . . . . . . . . . . . . . . . . . . . . . . . . . . . . 229
Diffusion, Generation, and Recombination . . . . . . . . . . . . . . . . . . . . . . . 230
Charge States . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 233
Extended Defects. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 233
SiGe . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 235
Linear Germanium Correction Factors . . . . . . . . . . . . . . . . . . . . . . . 235
Silicon and Germanium Parameter Interpolation . . . . . . . . . . . . . . . 237
Stress Effects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 239
Amorphization and Recrystallization . . . . . . . . . . . . . . . . . . . . . . . . 239
Band Gap . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 239
Diffusion, Generation, and Recombination. . . . . . . . . . . . . . . . . . . . 240
Extended Defects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 241
Part 2: Model Parameters for Impurities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 241
Implantation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 241
Diffusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 242
Boron . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 243
Arsenic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 245
Phosphorus . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 246
Indium. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 248
Carbon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 248
Fluorine . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 249
Nitrogen . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 250
Clusters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 250
Boron . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 251
Arsenic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 251
Phosphorus . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 252
Arsenic and Phosphorus . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 253
Indium. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 254
Carbon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 254
Boron and Carbon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 255
Fluorine . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 255
Nitrogen . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 255

9
Contents

Boron and Nitrogen . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 255


Carbon and Nitrogen . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 256
Segregation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 256
Boron . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 256
Arsenic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 257
Phosphorus . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 257
Indium. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 257
Carbon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 257
Fluorine . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 257
Nitrogen . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 258
Recrystallization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 258
Boron . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 258
Arsenic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 259
Phosphorus . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 259
Indium. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 259
Carbon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 260
Fluorine . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 260
Nitrogen . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 260
Epitaxy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 260
Boron . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 260
Arsenic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 261
Phosphorus . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 261
Carbon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 261
SiGe . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 261
Linear Germanium Correction Factors . . . . . . . . . . . . . . . . . . . . . . . 261
Silicon and Germanium Parameter Interpolation . . . . . . . . . . . . . . . 262
Stress Effects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 264
Boron . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 264
Arsenic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 265
Phosphorus . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 265
Indium. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 266
Section 4: Model Parameters for Epitaxial Growth . . . . . . . . . . . . . . . . . . . . . 266
[Link] Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 266
Coordinations Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 267
[Link] Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 268
Epitaxial Growth . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 268
Etching . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 270
References. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 271

7. Guidelines for Additional Calibration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 282


Accuracy and Limitations of Advanced Calibration of Sentaurus Process KMC 282

10
Contents

Damage and Point Defects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 283


Amorphization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 283
Recrystallization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 284
Diffusion, Generation, and Recombination. . . . . . . . . . . . . . . . . . . . 285
Extended Defects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 285
Impurities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 286
Diffusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 286
Activation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 288
Dose Loss . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 290
Recrystallization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 290
Stress and SiGe Effects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 291
References. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 292

Part III: Advanced Calibration for Mechanics Simulations

8. Using Advanced Calibration File for Mechanics Simulations . . . . . . . . . . 296


Location of Advanced Calibration File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 296
Using Advanced Calibration. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 297
Earlier Versions of Advanced Calibration. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 297

9. Contents of Advanced Calibration for Mechanics Simulations . . . . . . . . 300


Overview of Advanced Calibration File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 300
Switches for Interpolation in Mole Fraction–Dependent Mechanical Models 300
Parameters for Mechanics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 302
Suppression of Dilatational Viscosity . . . . . . . . . . . . . . . . . . . . . . . . . . . . 302
Mole Fraction–Dependent Mechanics Parameters for SiGe . . . . . . . . . . 302
Cubic Crystal Anisotropy for Silicon and Germanium . . . . . . . . . . . . . . . 303
Temperature Dependency of Stiffness Coefficients for Silicon and Germanium
303
Isotropic Elastic Moduli for Germanium . . . . . . . . . . . . . . . . . . . . . . . . . . 304
Polysilicon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 304
Viscosity of Oxide and Nitride . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 304
Isotropic Moduli for Titanium and Titanium Silicide . . . . . . . . . . . . . . . . . 305
Amorphous Germanium Oxide. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 305
Titanium Nitride . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 305
Hafnium Oxide . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 306
Silicon Carbide . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 306

11
Contents

References. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 307

Part IV: Appendices

A. Licensed Modules and Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 312

Overview of Licenses. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 312

12
About This Guide
Synopsys® is working continually on improving the simulation models and optimizing the
model parameters for the latest technology nodes. This effort is based on long-standing
experience of model calibration for customers and a comprehensive, growing database of
state-of-the-art secondary ion mass spectroscopy (SIMS) profiles. The variety of partners
and data ensures that systematic and random errors in experimental work are minimized in
this model representation. Advanced Calibration provides users with a set of parameters
that have been calibrated to many technologies, ranging from power technologies to
deep-submicron CMOS, including ultrashallow junction formation, surface dose loss,
oxidation, and channel and halo dopant implantation, co-doping, and diffusion, for Si, SiGe,
Ge, and other materials.
Sentaurus™ Process offers the Tcl-based scripting language Alagator for the
implementation of diffusion and reaction models. This allows users to implement models or
to model extensions. This possibility is also used in the Advanced Calibration of Sentaurus
Process: The Advanced Calibration file of Sentaurus Process contains model selections,
parameter specifications, and some model extensions. This file can be sourced at the
beginning of a Sentaurus Process simulation. In analogy, the Advanced Calibration file of
Sentaurus Process Kinetic Monte Carlo contains model selections and parameter
specifications. This file can be sourced at the beginning of a Sentaurus Process simulation
in atomistic mode as well.
The Advanced Calibration of Sentaurus Interconnect is based on the Advanced Calibration
of Sentaurus Process, in particular, the part for mechanics simulations. This file can be
sourced at the beginning of a Sentaurus Interconnect simulation.
Current and future efforts are focused on the integration of Advanced Calibration in the
process simulators Sentaurus Process, Sentaurus Process Kinetic Monte Carlo, and
Sentaurus Interconnect, and on further improvements of its accuracy.
This user guide explains the Advanced Calibration files for the process simulators
Sentaurus Process, Sentaurus Process Kinetic Monte Carlo, and Sentaurus Interconnect. It
is intended for users who are familiar with Sentaurus Process and want to obtain a higher
accuracy in process simulation. For detailed information about these process simulators,
see the Sentaurus™ Process User Guide and Sentaurus™ Interconnect User Guide.
The user guide is divided into the following parts:
• Part I: These chapters describe the contents and the use of the Advanced Calibration file
of Sentaurus Process. They describe the use of Advanced Calibration for silicon, silicon
germanium, germanium, and silicon carbide. In addition, they explain the accuracy and
limitations of the Advanced Calibration of Sentaurus Process and provides guidelines for
additional calibration.

Advanced Calibration for Process Simulation User Guide 13


V-2024.03
About This Guide
Conventions

• Part II: These chapters describe the contents and the use of the Advanced Calibration
file of Sentaurus Process Kinetic Monte Carlo. They explain the accuracy and limitations
of the Advanced Calibration of Sentaurus Process Kinetic Monte Carlo and provides
guidelines for additional calibration.
• Part III: These chapters describe the contents and the use of the Advanced Calibration
file for mechanics simulations for Sentaurus Process and Sentaurus Interconnect.
For additional information, see:
• The TCAD Sentaurus release notes, available on the Synopsys SolvNetPlus site (see
Accessing SolvNetPlus)
• Documentation available on the SolvNetPlus site

Conventions
The following conventions are used in Synopsys documentation.

Convention Description

Courier font Identifies text that is displayed on the screen or that the user must enter. It
identifies the names of files, directories, paths, parameters, keywords, and
variables.

Italicized text Used for emphasis, the titles of books and journals, and non-English words. It
also identifies components of an equation or a formula, a placeholder, or an
identifier.

Customer Support
Customer support is available through the SolvNetPlus site.

Accessing SolvNetPlus
The SolvNetPlus site includes a knowledge base of technical articles and answers to
frequently asked questions about Synopsys tools. The site also gives you access to a wide
range of Synopsys online services including software downloads, documentation, and
technical support.

Advanced Calibration for Process Simulation User Guide 14


V-2024.03
About This Guide
Statement on Inclusivity and Diversity

To access the SolvNetPlus site:


1. Go to [Link]
2. Enter your user name and password. (If you do not have a Synopsys user name and
password, follow the instructions to register.)

Contacting Synopsys Support


If you have problems, questions, or suggestions, contact Synopsys support in the following
ways:
• Go to the Synopsys Global Support Centers site on [Link] to find email
addresses and telephone numbers for Synopsys support centers throughout the world.
• Go to the SolvNetPlus site and open a case (Synopsys user name and password
required).

Contacting Your Local TCAD Support Team Directly


Send an email message to:
• support-tcad-us@[Link] from within North America and South America
• support-tcad-eu@[Link] from within Europe
• support-tcad-ap@[Link] from within Asia Pacific (China, Taiwan, Singapore,
Malaysia, India, Australia)
• support-tcad-kr@[Link] from Korea
• support-tcad-jp@[Link] from Japan

Statement on Inclusivity and Diversity


Synopsys is committed to creating an inclusive environment where every employee,
customer, and partner feels welcomed. We are reviewing and removing exclusionary
language from our products and supporting customer-facing collateral. Our effort also
includes internal initiatives to remove biased language from our engineering and working
environment, including terms that are embedded in our software and IPs. At the same time,
we are working to ensure that our web content and software applications are usable to
people of varying abilities. You may still find examples of non-inclusive language in our
software or documentation as our IPs implement industry-standard specifications that are
currently under review to remove exclusionary language.

Advanced Calibration for Process Simulation User Guide 15


V-2024.03
Part I: Advanced Calibration in Sentaurus
Process

This part of the Advanced Calibration for Process Simulation User Guide contains the
following chapters:
• Chapter 1, Using Advanced Calibration File of Sentaurus Process
• Chapter 2, Advanced Calibration for Silicon, SiGe, and Germanium
• Chapter 3, Guidelines for Additional Calibration
• Chapter 4, Advanced Calibration for 4H-SiC Process Simulation

Advanced Calibration for Process Simulation User Guide 16


V-2024.03
1
Using Advanced Calibration File of Sentaurus
1

Process

This chapter gives a brief introduction to the use of Advanced Calibration in a process
simulation with Sentaurus Process.

Advanced Calibration is a selection of models and parameters, which is recommended to be


used for accurate process simulation. In Sentaurus Process, this selection of models and
parameters is contained in a text file, which can be opened with any standard text editor.
By sourcing the Advanced Calibration file at the beginning of a process simulation, the
standard calibration of Synopsys is selected. If needed, you can change or extend the
Advanced Calibration. This can be performed by sourcing an additional calibration file,
which contains the required parameter changes, or by editing the Advanced Calibration file
with a text editor.

Location of Advanced Calibration File


The Advanced Calibration file is the ultimate product of Synopsys’ calibration efforts. For
each release of Sentaurus Process, there is a new Advanced Calibration file that includes
the best and latest set of models and parameters. To ensure backward compatibility,
previous Advanced Calibration files are still available.
The files for the Advanced Calibration of Sentaurus Process in this release are located at:
$STROOT/tcad/$STRELEASE/lib/sprocess/TclLib/AdvCal

The STROOT environment variable indicates where the Synopsys TCAD distribution has
been installed.
The default file is named AdvCal_2024.[Link]. It represents the first version of Advanced
Calibration V-2023.12. Older versions of the Advanced Calibration file can be found in the
same directory. For example, the file AdvCal_2022.[Link] contains the Advanced
Calibration file for Version U-2022.12 and is available for backward compatibility.

Advanced Calibration for Process Simulation User Guide 17


V-2024.03
Chapter 1: Using Advanced Calibration File of Sentaurus Process
Using Advanced Calibration

The default Advanced Calibration parameter file contains parameters for Si, SiGe and
Ge-based processes. An additional parameter file AdvCal_SiC_2024.[Link] targets
process simulation of semiconductor devices based on 4H-SiC.

Using Advanced Calibration


To use the Advanced Calibration of Sentaurus Process, at the beginning of the input file,
insert the line:
AdvancedCalibration

or, better:
AdvancedCalibration 2024.03

Alternatively, this file can be sourced by using:


source $AdvCalDir/AdvCal_2024.[Link]

The procedure AdvancedCalibration has two optional parameters <version> and


<material>. The order of arguments is not important. The allowed values for <material>
are Si, SiGe, Ge, 4H-SiC, and SiC:
• Si, SiGe, and Ge as well as no material value call the default Advanced Calibration
parameter file for Si, SiGe, and Ge materials.
• 4H-SiC and SiC call the Advanced Calibration file for 4H-SiC.

For example, the following command calls the Advanced Calibration file for 4H-SiC
(AdvCal_SiC_2024.[Link]):
AdvancedCalibration 2024.03 4H-SiC

For SiC, a comprehensive calibration of oxidation rates is contained in an additional file,


which is encrypted. This module is available with a license. To load the calibration of SiC
oxidation rates, use the following command:
AdvancedCalibration PowerSiC 2024.03

Optional Modules
The file AdvCal_2024.[Link] includes a base set of models and parameters, and several
optional modules, which are not switched on automatically. Each module can be selected by
a single command after loading Advanced Calibration.
AdvancedThermalImplantModel switches on the impact of temperature on ion
implantation. This module is recommended for the simulation of processes, which include

Advanced Calibration for Process Simulation User Guide 18


V-2024.03
Chapter 1: Using Advanced Calibration File of Sentaurus Process
Optional Modules

implantations at temperatures that differ from room temperature. See Thermal Implantations
on page 87.
Three modules are useful for selected technologies, and their corresponding commands are
as follows:
• SiGe_and_Stress_Effect switches on the impact of Ge and stress on dopant diffusion
and activation. It is recommended for PMOS devices with SiGe pockets and also can be
used for HBT devices with SiGe layers. See Effect of Germanium and Stress on page 61.
• AdvancedPowerDeviceMode is used to speed up the process simulation for many types
of power device. For simulation of power devices, see Part 5: Accelerating Simulations
for Power Technologies on page 119 and Additional Calibration for Power Technologies
on page 193.
• AdvancedMLAModel is recommended for modeling melting laser anneal. See Melting
Laser Anneal on page 109.
Other modules switch on physical models that are more complex and more time-consuming
alternatives to the Advanced Calibration default models:
• AdvancedFluorineModel models the impact of fluorine on transient-enhanced diffusion
and on boron dose loss. It is recommended for processes that include atomic F
implantations. It is also beneficial for the accurate modeling of processes including
high-dose BF2 implantations. See Fluorine Diffusion and Clustering on page 101.
• AdvancedNitrogenModel switches on equations for the diffusion and clustering of
nitrogen in silicon. It can be considered for use in processes that include nitrogen
implantations. See Nitrogen Diffusion and Clustering on page 102.
• AdvancedModels switches on complex physical models for various clustering
phenomena in silicon, such as interstitial clusters, boron–interstitial clusters, and fluorine
clusters. In total, many equations and model parameters are used to describe these
phenomena. Process simulations become slower but are not always more accurate.
Therefore, AdvancedModels is considered mainly for the purpose of fundamental
research. See Part 4: Comprehensive and Slow Models on page 96.
• AdvancedSPERModel switches on the solid phase epitaxial regrowth (SPER) model and
sets the calibrated parameters for it. AdvancedSPERModel adds complexity to the
simulation of anneals after amorphizing implantations. See Solid Phase Epitaxial
Regrowth on page 103.
Note:
After amorphizing implantations, the amorphized regions recrystallize by
SPER in subsequent thermal anneals. By default, Sentaurus Process
assumes that SPER is completed instantaneously at the beginning of thermal
annealing. Amorphization is only taken into account by setting special initial
conditions for dopants and point defects in amorphized semiconductor regions
at the beginning of diffusion. The SPER model simulates the movement of the

Advanced Calibration for Process Simulation User Guide 19


V-2024.03
Chapter 1: Using Advanced Calibration File of Sentaurus Process
Additional Calibration by Users

amorphous–crystalline transition region during SPER and the dopant


redistribution during such a process. In particular, the SPER model simulates
diffusion in amorphous silicon and the snow plow effect during SPER. The
snow plow effect is the redistribution of certain impurity species (for example,
indium), which prefer to stay at the amorphous side of the amorphous–
crystalline interface, toward the surface.

Additional Calibration by Users


Advanced Calibration is based on the assumption that all parameters that are not changed
in the parameter files are the default parameters of Sentaurus Process. To use the
Advanced Calibration file AdvCal_2024.[Link], it must be sourced before the real process
description.
After sourcing AdvCal_2024.[Link], you can change the model switches or parameter
values of the physical models. This should ideally be performed by experienced users with
a good understanding of the diffusion models of Sentaurus Process.
For the process simulation of silicon technology, Advanced Calibration is usually the best
starting point. You can further increase the accuracy for a certain technology by additional
fine-tuning of a few physical parameters.
The best way to perform this is to put all additional calibration in a user calibration file, for
example, my_calibration.fps. This file includes the commands to select optional
modules of Advanced Calibration such as AdvancedFluorineModel or
AdvancedPowerDeviceMode, and it includes all project-specific changes to the physical
models or parameters with respect to Advanced Calibration.
In the process simulation file, at the beginning of the process simulation, insert the lines:
AdvancedCalibration 2024.03
source ./my_calibration.fps

This approach allows you to:


• Separate completely the calibration and the process description.
• Use the Advanced Calibration file as a starting point.
• Summarize all project-specific calibration in a short and clear text file.
For detailed information about how to perform additional calibration, see Chapter 3 on
page 139.

Advanced Calibration for Process Simulation User Guide 20


V-2024.03
Chapter 1: Using Advanced Calibration File of Sentaurus Process
Earlier Versions of Advanced Calibration

Earlier Versions of Advanced Calibration


You can source earlier versions of the Advanced Calibration file by inserting, for example,
the line:
AdvancedCalibration 2022.12

This is converted internally to:


source $AdvCalDir/AdvCal_2022.[Link]

Table 1 lists earlier versions of the Advanced Calibration file that can be loaded with
Sentaurus Process Version V-2023.12.
This possibility is available to provide backward compatibility. You can run simulations with
the latest version of Sentaurus Process, but the simulations can still be based on an old
calibration. For new TCAD projects, it is recommended to load the latest version of
Advanced Calibration.
The original versions of the earlier Advanced Calibration files cannot be used in the latest
version of Sentaurus Process, due to changes in the source code and the model library of
Sentaurus Process, which affect the functionality of the old files. Therefore, Synopsys has
adapted the earlier Advanced Calibration files to cope with those changes. Modifications
have been undertaken in such a way that the choice of physical models and parameters is
still the one from the corresponding release. The AdvancedCalibration command always
loads the modified versions.
Table 1 Earlier versions of Advanced Calibration file and their corresponding commands

Advanced Calibration file Corresponding command

AdvCal_2023.[Link] AdvancedCalibration 2023.12

AdvCal_2023.[Link] AdvancedCalibration 2023.09

AdvCal_2022.[Link] AdvancedCalibration 2022.12

AdvCal_2022.[Link] AdvancedCalibration 2022.03

AdvCal_2021.[Link] AdvancedCalibration 2021.06

AdvCal_2020.[Link] AdvancedCalibration 2020.09

AdvCal_2019.[Link] AdvancedCalibration 2019.12

AdvCal_2019.[Link] AdvancedCalibration 2019.03

AdvCal_2018.[Link] AdvancedCalibration 2018.06

Advanced Calibration for Process Simulation User Guide 21


V-2024.03
Chapter 1: Using Advanced Calibration File of Sentaurus Process
Earlier Versions of Advanced Calibration

Table 1 Earlier versions of Advanced Calibration file and their corresponding commands

Advanced Calibration file Corresponding command

AdvCal_2017.[Link] AdvancedCalibration 2017.09

AdvCal_2016.[Link] AdvancedCalibration 2016.12

AdvCal_2016.[Link] AdvancedCalibration 2016.03

AdvCal_2015.[Link] AdvancedCalibration 2015.06

AdvCal_2014.[Link] AdvancedCalibration 2014.09

AdvCal_2013.[Link] AdvancedCalibration 2013.12

AdvCal_2013.[Link] AdvancedCalibration 2013.03

AdvCal_2012.[Link] AdvancedCalibration 2012.06

AdvCal_2011.[Link] AdvancedCalibration 2011.09

AdvCal_2010.[Link] AdvancedCalibration 2010.12

AdvCal_2010.[Link] AdvancedCalibration 2010.03

AdvCal_2009.[Link] AdvancedCalibration 2009.06

AdvCal_2008.[Link] AdvancedCalibration 2008.09

AdvCal_2007.[Link] AdvancedCalibration 2007.12

AdvCal_2007.[Link] AdvancedCalibration 2007.03

AdvCal_2006.[Link] AdvancedCalibration 2006.06

AdvCal_2005.[Link] AdvancedCalibration 2005.10

Most earlier versions of Advanced Calibration contain the Compatibility command. For
example, AdvCal_2022.[Link] contains the command Compatibility 2022.12, which
applies the default parameters and model settings of Sentaurus Process Version U-2022.12
before setting the Advanced Calibration models and parameters.
In terms of models and parameters, AdvCal_2023.[Link] is identical to
AdvCal_2023.[Link]. The only difference is that AdvCal_2023.[Link] includes the line
Compatibility 2023.09.

Advanced Calibration for Process Simulation User Guide 22


V-2024.03
Chapter 1: Using Advanced Calibration File of Sentaurus Process
Changes in Advanced Calibration From V-2023.12 to V-2024.03

Changes in Advanced Calibration From V-2023.12 to V-2024.03


All changes are related to the oxide–silicon interfaces in the presence of Ge. To run process
simulations with the old calibration of Ge atoms and their impact at oxide–silicon interfaces,
use Advanced Calibration 2023.12. Brewer et al.[1] and Thornton et al.[2] reported that
Ge diffuses along the oxide–silicon interface. This can be exploited to form gate-all-around
(GAA) structures by the oxidation of fin-shaped alternating layers of Si and SiGe. The
models and parameters included in AdvCal_2024.[Link] enable the simulation of such
processes, including Ge diffusion along the oxide–silicon interface. With respect to
AdvCal_2023.[Link], the following changes have been made:

◦ The ThreePhaseSegregation model is used to describe Ge at the oxide–silicon


interface, and all corresponding parameters have been defined and calibrated. Ge
atoms trapped at that interface diffuse along the interface.
◦ The oxidation rates of SiGe have been updated. Parameter changes include:
▪ The mole fraction dependence of the Deal Grove parameter BA and the Massoud
parameters C and L.
▪ The diffusivity of O2 and H2O in SiO2 as a function of the Ge concentration in
SiO2.
▪ The pressure dependence of the Massoud correction term, for wet oxidation.
◦ The equilibrium concentration of vacancies at the SiGe side of oxidizing SiGe
surfaces is modified as a function of the Ge mole fraction.

Backward Compatibility
The changes are mostly relevant in simulations with the module SiGe_and_Stress_Effect.
The results obtained with Advanced Calibration 2024.03 will differ as follows:
• Process simulations of Si devices with no Germanium present are not affected.
• For process simulations of devices with SiGe or Ge regions, results may change as
follows:
◦ In processes, which do not include oxidation of SiGe regions, small changes are to
be expected (typically 0-2% in device characteristics such as threshold voltage)
◦ In processes, which include oxidation of SiGe or Ge regions, results may change
considerably. Ge diffusion along oxide/silicon interfaces is simulated only with
Advanced Calibration 2024.03, but not with older versions.

Advanced Calibration for Process Simulation User Guide 23


V-2024.03
Chapter 1: Using Advanced Calibration File of Sentaurus Process
Advanced Calibration File for Kinetic Monte Carlo Simulations

Advanced Calibration File for Kinetic Monte Carlo Simulations


An Advanced Calibration file is available for simulations with the kinetic Monte Carlo mode
of Sentaurus Process. The use and contents of this file are described in Chapter 5 on
page 223 and Chapter 6 on page 226.

Sentaurus Workbench Splits: Saving in TDR Format


Note:
This section is intended for users of Advanced Calibration Version D-2010.03 or
earlier.

Sentaurus Process can be used within Sentaurus Workbench projects. If split commands of
Sentaurus Workbench are used inside the input file of Sentaurus Process, at each split
command, the structure with all the data fields is saved in a TDR format file. In a subsequent
tool instance, the process simulation starts by loading the previously saved structure.
Sentaurus Process does not always save and load the complete status of the process
simulation. In particular, the definitions of Alagator terms and solution commands are only
saved in TDR format if the keyword store is used in the term definition command lines, and
Tcl procedures are only saved if they have been defined by the command fproc (rather than
proc). Furthermore, entries in the parameter database are only saved if the TDR format is
used for saving and loading.
The Advanced Calibration file contains the definitions of terms and procedures. In the latest
file, the definitions of terms, solutions, and procedures are performed in such a way that they
are saved to the TDR format and are reloaded. No additional attention is needed.
In an earlier version of the file (AdvCal_2010.[Link]), a series of terms inside the
procedure SiGe_and_Stress_Effect was modified with the command MultiplyTerm.
Since AddToTerm, SubFromTerm, and MultiplyTerm modify terms without the keyword
store, the corresponding term modifications were not stored in the TDR format. Therefore,
the command MultiplyTerm is no longer used in newer versions of Advanced Calibration.
In early versions of the Advanced Calibration files (AdvCal_2007.[Link] and before), the
definitions of terms and procedures are not saved. Therefore, when using these versions of
Advanced Calibration files, you must ensure that the files are loaded at the beginning of
each part of a split process simulation.

Advanced Calibration for Process Simulation User Guide 24


V-2024.03
Chapter 1: Using Advanced Calibration File of Sentaurus Process
References

References
[1] W. M. Brewer et al., “Lateral Ge Diffusion During Oxidation of Si/SiGe Fins”, Nano
Letters, vol. 17, pp. 2159–2164 (2017), and supplementary information.
[2] C. S. Thornton et al., “The Diffusion Mechanism of Ge During Oxidation of Si/SiGe
Nanofins”, ACS Applied Materials & Interfaces, vol. 14, pp. 29422–29430 (2022), and
supporting information.

Advanced Calibration for Process Simulation User Guide 25


V-2024.03
2
Advanced Calibration for Silicon, SiGe, and
2

Germanium

This chapter explains how to use Advanced Calibration of Sentaurus Process for silicon,
silicon germanium (SiGe), and germanium and documents the origin of the parameter
values.

The focus of Advanced Calibration is monocrystalline silicon, germanium, and SiGe for all
Ge mole fractions. The calibration for silicon and SiGe with low Ge mole fraction (≤ 0.5) is
the most mature and reliable. On the other hand, the one for pure Ge is less mature and
reliable, and the one for SiGe with high Ge mole fraction (> 0.5) is the least mature and
reliable. Many model equations and model parameters are taken from reliable publications.
In addition, a rigorous calibration has been performed, based on a SIMS database.
The book Intrinsic Point Defects, Impurities, and Their Diffusion in Silicon by Pichler [1] is a
good reference source for parameter values. It refers to more than 3000 scientific papers
and gives a comprehensive overview of the experimental data available for the calibration of
fundamental parameters for diffusion in silicon. For many relevant parameters, Pichler
compares the results from many authors, which can be used to estimate the error bars of the
parameter values.
The Advanced Calibration file AdvCal_2024.[Link] is divided into the following parts,
which contain numbered sections and are executed in sequence:
• Part 1: Basic Model Switches
• Part 2: Constant Parameters
• Part 3: Ion Implantation and Initial Conditions
• Part 4: Comprehensive and Slow Models
• Part 5: Accelerating Simulations for Power Technologies

Advanced Calibration for Process Simulation User Guide 26


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 1: Basic Model Switches

Part 1: Basic Model Switches


In Sentaurus Process, Advanced Calibration covers several alternatives for diffusion and
activation models. Some models are relatively simple, such as the ChargedPair model for
dopant diffusion or the Transient activation of dopants. Other models are more
sophisticated (for example, the ChargedReact model for dopant diffusion and the
ChargedCluster model for dopant activation) but require more equations to be solved in
the diffusion solver and, therefore, require more CPU time. These different models coexist
in Advanced Calibration so that, in simple limiting situations (for example, in thermal
equilibrium for low dopant concentration), different models give the same results. In more
complex situations, for example, during transient-enhanced diffusion (TED), the more
complex models will often give better results.
The best choice of fundamental models depends on the problem to be solved. Part 1 of the
Advanced Calibration represents a choice that is recommended for most applications. For
most devices, the modeling of TED and dopant activation is important, and it is necessary to
use some models that describe the underlying physics accurately.
It is often useful to reduce the number of equations to be solved in order to save CPU time.
On the other hand, it might be sometimes necessary to select models that are more
sophisticated than the default choice, even at the cost of increasing the CPU time. In this
chapter, the possible changes with respect to the default model switches are explained.
The most elegant way to change a basic model switch is adding a corresponding line at the
beginning of a project-specific or user-specific calibration file, which is sourced after loading
the Advanced Calibration file. In this way, you can track the differences to the default
suggestions of Synopsys.
CPU time is an important issue for the process simulation of power device fabrication, which
often includes a large number of thermal anneals. A summary of the methods to speed up
the simulation of power device processes is given in Additional Calibration for Power
Technologies on page 193.
Part 4 of the Advanced Calibration file contains the procedure AdvancedModels, which
offers an option to switch to a consistently calibrated set of complex models for dopant and
defect clustering with a single command line. This option is recommended for fundamental
research and also can be considered to be used in very advanced CMOS technology. It is
described in Part 4: Comprehensive and Slow Models on page 96.

Diffusion Models in Silicon and Germanium


See section 1.1 of AdvCal_2024.[Link].
The default choice is the pair diffusion model ChargedPair. The dopants diffuse only
through dopant-defect pairs, where defects can be either interstitials or vacancies. All

Advanced Calibration for Process Simulation User Guide 27


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 1: Basic Model Switches

charge states of defects and dopant-defect pairs are taken into account, and the
concentration of pairs is assumed to be in local equilibrium with unpaired dopants and
defects.
A more sophisticated alternative is the ChargedReact model, a five-stream model. It is
widely used for process simulation of deep-submicron devices and is selected by:
pdbSet Si Dopant DiffModel ChargedReact

Here, the diffusion of dopants is simulated through dopant-defect pairs. In contrast to the
ChargedPair model, the simplifying assumption of local equilibrium between pairs and
unpaired dopants is omitted. Instead, the kinetics of pair formation and dissolution is taken
into account. This model needs more CPU time than the ChargedPair model, because
additional equations need to be solved for each dopant. It is possible to select the
ChargedReact model individually for some dopants. For example, it might be reasonable to
select it only for boron but not for other dopants. This can be performed by adding the line:
pdbSet Si Boron DiffModel ChargedReact

It is possible to use the ChargedReact model for some dopants and the ChargedPair model
for all other dopants. In contrast, it is not recommended to mix the ChargedFermi model with
either of the ChargedReact or ChargedPair model, because the treatment of point defects
would become inconsistent.

Dopant Cluster Models in Silicon and Germanium


See section 1.2 of AdvCal_2024.[Link].
These models govern the dopant activation during thermal annealing. The simplest and
fastest model is None, which means that there are no dopant clusters. This model is
recommended for dopants for which clustering has no influence. This is typically the case
when the maximum concentration of a dopant is far below the solid solubility. For example,
in an NMOS simulation with a very low indium dose for the channel implantation (for
example, 1012 cm–2), it is reasonable to set the indium activation model to None, to speed
up the simulation.
For the dopant impurities boron, indium, arsenic, phosphorus, and antimony in silicon as
well as for the dopant impurities boron, arsenic, phosphorus, antimony, and carbon in
germanium, the activation model Transient is used as the default. In this model, dopants
can be bound in clusters, which consist only of dopants of one species. The equilibrium
distribution of dopants into clusters and substitutional impurities is governed by the solid
Solubility; the rate at which the equilibrium is reached is governed by the parameter
CluRate. Both Solubility and CluRate are Arrhenius-type constants with individual
parameters for each dopant.
For boron, the equations of the Transient cluster model are modified to take into account
the role of interstitials in the cluster formation (see Boron Clustering on page 41).

Advanced Calibration for Process Simulation User Guide 28


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 1: Basic Model Switches

Special models exist for boron, arsenic, phosphorus, indium, carbon, and fluorine clustering
in silicon.
Boron can form so-called boron–interstitial clusters (BICs) together with silicon interstitials.
BICs exist in various sizes, as BmIn ‘molecules’ inside silicon, which grow or evaporate by
the incorporation or emission of silicon interstitials or boron-interstitial pairs.
The BIC model can be selected by using:
pdbSet Si Boron ActiveModel ChargedCluster

The BIC model is not used by default because the solution of individual equations for all
BICs is numerically expensive and, on average, the simpler
Boron ActiveModel Transient model provides slightly better overall accuracy.
Furthermore, the BIC model should only be used in combination with the Full model for
interstitial clusters, which uses more equations than the 1Moment model for interstitial
clusters, which is the Advanced Calibration default. The recommended way to use the BIC
model is to execute the procedure AdvancedModels defined in part 4 of the Advanced
Calibration file (see Part 4: Comprehensive and Slow Models on page 96).
To model very high phosphorus concentrations, which are present after highly strained SiP
(HSSiP) epitaxy or after ultralow-energy P implantation, you can use a second type of P
cluster, P7I, which forms and dissolves in addition to the P3 clusters present in the
Transient model. P7I clusters describe that large and very stable P clusters (or P
precipitates) can form at extremely high concentrations of P. For HSSiP processes, the
formation of large P7I clusters is responsible for the relaxation of tensile strain associated
with substitutional P and small P clusters. You can switch on P7I clusters in addition to the
Transient model for P by using:
Use_P7I

The equations for cluster formation and dissolution are implemented inside the Advanced
Calibration file (see Phosphorus Clusters on page 49).
Arsenic and phosphorus can form clusters together with point defects, which are so-called
arsenic–vacancy (As–V), phosphorus–vacancy (P–V), and phosphorus–interstitial (P–I)
clusters. To take these into account, you can switch on the ChargedCluster model for
silicon using:
pdbSet Si Arsenic ActiveModel ChargedCluster
pdbSet Si Phosphorus ActiveModel ChargedCluster

In the case of arsenic, a family of four different As–V clusters and pure As clusters will form.
In the case of phosphorus, two different P–V clusters, one pure P cluster, and one P–I
cluster are modeled. The models can be used with both the 1Moment and Full models for
interstitial clusters. The formation and dissolution of As–V, P–V, and P–I clusters change the
local concentration of silicon point defects (interstitials and vacancies).

Advanced Calibration for Process Simulation User Guide 29


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 1: Basic Model Switches

The older Cluster model, in which As4V is the only type of As cluster, is not recommended
to be used, as it sometimes overestimates the impact of As-cluster formation and dissolution
on the point-defect concentration in silicon. Instead, the default choice for As clustering is
the Transient model, in which As3 is the only As cluster and which is easy to understand
and calibrate.
The activation model ChargedCluster can also be applied to simulate formation of indium
clusters. This model is invoked in the procedure AdvancedModels (see Part 4:
Comprehensive and Slow Models on page 96).
Carbon can form carbon–interstitial clusters in silicon, with a similar reaction chain as for
BICs. The formation of carbon–interstitial clusters is activated by:
pdbSet Si Carbon ActiveModel NeutralCluster

Solving a transient equation for the formation and dissolution of Ge–B pairs in silicon is not
considered necessary. Instead, in cases where the chemical effect of Ge on B diffusion must
be taken into account, you can select a calibrated modification of B diffusivity in the
presence of germanium by using the following line immediately after sourcing the Advanced
Calibration file:
SiGe_and_Stress_Effect 1 1 1 0

This is explained in Effect of Germanium and Stress on page 61.

Defect Cluster Models in Silicon and Germanium


See section 1.3 of AdvCal_2024.[Link].
For silicon and germanium, interstitial clustering is described by the 1Moment cluster model.
In this model, the capturing and release of interstitials from {311} defects is described
according to a publication by Rafferty et al. [2]. This model uses only a single equation to
describe the time evolution of interstitial clusters and is considered a good compromise
between accuracy and computation speed.
A complex silicon interstitial-clustering model, including small interstitial clusters, {311}
defects, and dislocation loops, is used in the AdvancedModels set and described in
Interstitial Clusters on page 97.
Vacancy clusters are not simulated by default in Advanced Calibration because their
modeling is not needed for regular processes. If vacancy clusters are relevant, for example,
in processes that include vacancy engineering, that is, the creation of a vacancy-rich region
by high-energy implantation, you have the option of three different models for vacancy
clusters in silicon.
The simplest model is switched on by:
pdbSet Si Vac ClusterModel 1Moment

Advanced Calibration for Process Simulation User Guide 30


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 1: Basic Model Switches

In this case, the nucleation, growth, and dissolution of vacancy clustering are modeled with
arbitrary calibrated parameters, which is analogous to the standard model for interstitial
clusters.
A more comprehensive model as proposed in the ATOMICS research project [3] is activated
by:
pdbSet Si Vac ClusterModel Full

By default, if the Full model is selected for vacancy clusters, Sentaurus Process will solve
seven equations for small vacancy clusters (V2–V8), with calibrated parameters including
binding energies based on ab initio simulations [3].
For numeric efficiency, an alternative calibration of the Full model using fewer equations
can be selected by using:
pdbSet Si Vac ClusterModel Full
pdbSet Si Vac MultiClusterModel Full {2Moment}

pdbSetDouble Si Vac [Link] 3


pdbSetDoubleArray Si V3 kfV { 0 {[expr 4*3.1415*2.97e-8*[pdbGet \
Si Vac D 0]]} }
pdbSetDoubleArray Si V3 krV { 0 0 }
pdbSetDoubleArray Si V3 kfI { 0 0 }
pdbSetDoubleArray Si V3 krI { 0 0 }

In this case, one equation for the small vacancy clusters V2 and two equations for the voids
(DVoid for the concentration of V-clusters, and CVoid for the total concentrations of
vacancies in these clusters) are solved with arbitrary calibrated parameters.

Poisson Equation
See section 1.4 of AdvCal_2024.[Link].
In Advanced Calibration, the Poisson equation for the electrical potential is solved for both
silicon and germanium. Alternatively, you can switch off the Poisson equation with the
command:
pdbSetBoolean Si Potential Poisson 0

In this case, local charge neutrality is assumed and the number of partial differential
equations is reduced by one. In most situations, local charge neutrality gives approximately
the same results as the Poisson equation. At p-n junctions, the assumption of charge
neutrality gives a sharper peak of the electric field than the Poisson equation, which results
in slightly sharper kinks of dopant profiles at p-n junctions.
Modern submicron CMOS devices have very thin dielectrics. Therefore, the electrostatic
interaction between the gate and the channel region in such devices is strong. This
interaction results in the presence of an additional potential at semiconductor surfaces

Advanced Calibration for Process Simulation User Guide 31


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 1: Basic Model Switches

(under the gate) and strong electric fields in the semiconductor near semiconductor–oxide
interfaces. To take this electrostatic interaction into account, the Poisson equation with
proper boundary conditions can be solved in all materials, including dielectrics, especially
under the gate [4]. Ideally, also quantum corrections to the distribution of electrons and holes
can be taken into account in the simulation [4]. These effects, with a small but noticeable
impact on CMOS device characteristics [4], are not included in Advanced Calibration for
Sentaurus Process.

Channeling Dose in Analytic Implantations


See section 1.5 of AdvCal_2024.[Link].
For analytic implantations, the switch ChanDoseInterpolation 1 selects the correct
method of interpolation of the ion-channeling dose between the tabulated values of the
Default tables.

The coimplantation model [5] for damage accumulation is switched on. This model provides
a description of ion channeling for successive ion implantations.

Boundary Conditions
See section 1.6 of AdvCal_2024.[Link].
In the pair diffusion model, the segregation of dopants at silicon and germanium surfaces
involves the capture or creation of dopant–defect pairs at the silicon side of the interface.
In the pair segregation model used in Advanced Calibration, when a dopant–defect pair
diffuses to an interface between semiconductor and another material, the dopant can enter
the other material (or, for three-phase segregation, the interface layer), whereas the point
defect remains on the semiconductor side of the interface.
The following selection means that the point defect released can have any charge state (and
not only a neutral charge state):
pdbSet Ox_Si Boundary UseUnpairedTotalInt 1
pdbSet Gas_Si Boundary UseUnpairedTotalInt 1
pdbSet Nit_Si Boundary UseUnpairedTotalInt 1

Similarly, for the opposite segregation reaction, when a dopant–defect pair is formed at the
silicon side of the interface, a point defect with any charge state can be consumed at the
silicon side of the interface.
As a consequence of this selection, the time at which segregation equilibrium is reached in
highly doped regions, where most point defects are charged, is decreased. The segregation
equilibrium itself is not affected. Although the name of the Boolean parameter is
UseUnpairedTotalInt, the selection is applied to both interstitials and vacancies.

Advanced Calibration for Process Simulation User Guide 32


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 1: Basic Model Switches

The selection:
pdbSetSwitch Ox_Si I [Link] Normalized

and the corresponding lines for vacancies and other interfaces allow the generation and
recombination of point defects at silicon surfaces in all charge states.
For B, As, and P at Si–SiO2, Si–Si3N4, Ge–SiO2, and Ge–GeO2 interfaces, the three-phase
segregation model is the default in Advanced Calibration. For In and Sb, the simpler
segregation model is the default.

Numeric Solver
See section 1.7 of AdvCal_2024.[Link].
By default, the direct solver PARDISO is used for 1D and 2D simulations, and the iterative
solver ILS is used for 3D simulations. For better performance, Advanced Calibration selects
ILS to solve the linear systems also in 2D. Specific parameters can be set for ILS in 2D.
While nd is selected by default for [Link] as the optimum for multithreaded
calculations, you should consider switching to mmd for single-thread calculations.

Summary of Model Switches


Table 2 and Table 3 summarize the default model switches and all the alternatives
supported by Advanced Calibration. For all supported model switches, the corresponding
calibrated parameters are included in the Advanced Calibration file (AdvCal_2024.[Link])
and are ready to be applied automatically when alternative models are selected. The
procedure AdvancedModels, which switches on several more complex models at the same
time, is explained in Part 4: Comprehensive and Slow Models on page 96.
Table 2 Model switches for silicon in Advanced Calibration

Model Default Supported alternatives

pdbSet Si Dopant DiffModel ChargedPair ChargedReact1

pdbSet Si Boron ActiveModel Transient None

pdbSet Si Indium ActiveModel Transient None

pdbSet Si Arsenic ActiveModel Transient None, ChargedCluster

pdbSet Si Phosphorus ActiveModel Transient None, ChargedCluster

pdbSet Si Antimony ActiveModel Transient None

Advanced Calibration for Process Simulation User Guide 33


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 1: Basic Model Switches

Table 2 Model switches for silicon in Advanced Calibration (Continued)

Model Default Supported alternatives

pdbSet Si Germanium ActiveModel None

pdbSet Si Carbon ActiveModel NeutralCluster None

pdbSet Si Int ClusterModel 1Moment

pdbSet Si Vac ClusterModel None 1Moment, Full

pdbSet Si Potential Poisson 1 0

pdbSet ImplantData UseCoImplant 1 0

pdbSet Ox_Si Boundary Use UnpairedTotalInt 1

1. If the basic choice is ChargedPair, it is possible to select ChargedReact for individual dopants.

Table 3 Model switches for germanium in Advanced Calibration

Model Default Supported alternatives

pdbSet Ge Dopant DiffModel ChargedPair ChargedReact1

pdbSet Ge Boron ActiveModel Transient None

pdbSet Ge Arsenic ActiveModel Transient None

pdbSet Ge Phosphorus ActiveModel Transient None

pdbSet Ge Antimony ActiveModel Transient None

pdbSet Ge Carbon ActiveModel Transient None

pdbSet Ge Int ClusterModel 1Moment None

pdbSet Ge Vac ClusterModel None

pdbSet Ge Potential Poisson 1 0

pdbSet ImplantData UseCoImplant 1 0

pdbSet GeOx_Ge Boundary UseUnpairedTotalInt 1

1. If the basic choice is ChargedPair, it is possible to select ChargedReact for individual dopants.

Advanced Calibration for Process Simulation User Guide 34


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 2: Constant Parameters

Part 2: Constant Parameters


This part of the Advanced Calibration file contains the parameters for the diffusion and
reaction equations, which are set at the beginning of the process simulation and remain
valid for all process steps until the end of the simulation. The parameters are set for all
alternatives listed in Table 2 on page 33. This allows you to select any of the alternatives
models with all corresponding parameters by using a single command line, which can be
ideally placed in a user calibration file, which is sourced immediately after sourcing
AdvCal_2024.[Link].

Many parameters are taken from either the literature of carefully designed experiments or
the publication by Pichler [1], which gives an outstanding, comprehensive overview on the
publications of impurity diffusion and activation in silicon. Other parameters have been
calibrated or numerically optimized based on the SIMS database of Synopsys.
In brief, parameters for pure Si and pure Ge are set in sections 2.1–2.12. Section 2.13 deals
with the choice of implant tables, and section 2.14 contains parameters for SiGe and
strained Si. Section 2.15 contains parameters for mechanics.
In the Advanced Calibration models for SiGe, it is assumed that germanium is treated as an
impurity in the material Silicon. The material SiGe should not be used in process
simulations with Advanced Calibration. To take into account the impact of Ge and strain in
Si, use the SiGe_and_Stress_Effect procedure (see Effect of Germanium and Stress on
page 61).
In some processes, Ge is deposited or grown directly on Si. This results in a Ge–Si material
interface. The difference in lattice constants induces very high strain. For the process
modeling with Advanced Calibration, the following should be noted:
• You should not use Ge material adjacent to Si material. Ge–Si interfaces should be
avoided in process simulations because the transport of dopants and defects across the
Ge–Si interface is described incorrectly. In addition, for the material Ge, some of the
strain effects on dopants and the band gap are not switched on in the procedure
SiGe_and_Stress_Effect, because the calibration for pure Ge is based on hardware
data measured on unstrained Ge wafers.
• The best way to handle such structures with Advanced Calibration is to introduce the
material Germanium as Silicon with 100% Ge mole fraction. In that case, Si and Ge are
considered a single material without a material interface. The models contained in
SiGe_and_Stress_Effect can be used, and point-defect transport as well as dopant
segregation due to gradients of Ge mole fraction or pressure can be described correctly
by the equations in the bulk.
• There might still be challenges:
◦ Strains are very high (up to 4 GPa). It is not clear if the calibration holds for such high
values.

Advanced Calibration for Process Simulation User Guide 35


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 2: Constant Parameters

◦ Strain relaxation is likely to occur. In reality, this occurs by the formation of


dislocations, which might have side effects, for example, on point defects. However,
strain relaxation and the impact of the corresponding dislocations are not captured by
the models of Advanced Calibration.
Model parameters, which depend on particular ion implantation steps, are included in the
third part of the Advanced Calibration file and are described in Part 3: Ion Implantation and
Initial Conditions on page 79. Examples of these are the number of point defects generated
by ion implantation, which can depend on the implantation conditions.

Basic Point-Defect Parameters


See section 2.1 of AdvCal_2024.[Link].
The bulk parameters for interstitials and vacancies (sections 2.1.1–2.1.3 of
AdvCal_2024.[Link]) are the most fundamental parameters in the pair diffusion model.
They have been carefully selected from the literature. Any change affects not only the
diffusion of point defects, but also the diffusion of all dopant species that diffuse in
dopant-defect pairs. Changing the point-defect parameters with every new technology
calibration would make it difficult to compare the results of different calibration projects.
Therefore, it is strongly recommended that these parameters are not changed in any way.
To some extent, this is also true for the surface boundary conditions (BCs) for point defects
(section 2.1.4 of AdvCal_2024.[Link]). Changing them will affect the calibration of all
models for TED and the diffusion of all dopants. However, the BCs depend on the capping
material and the local concentration of impurities. For polysilicon and oxynitride, the BCs
can depend on the details of the process flow. Therefore, in practice, the surface
recombination lengths of point defects can be considered to be calibration parameters for
the fine-tuning of process simulation. See Boundary Conditions for Point Defects on
page 38.
Oxidation and nitridation cause the injection of interstitials and vacancies, respectively, at
the exposed surface. A calibration of interstitial injection has been performed for dry
oxidation. For nitridation and wet oxidation, the surface boundary conditions for point
defects are less reliable than for inert atmosphere and can be considered to be calibration
parameters for the fine-tuning of diffusion processes.

Bulk Parameters for Free Interstitials


See section 2.1.1 of AdvCal_2024.[Link].
For silicon, the diffusivity of interstitials Di is taken from Bracht et al. [6]. The equilibrium
concentration Cstar is chosen such that the product Di*Cstar has the value
1.59 × 1025 × exp(–4.702 eV/kT) cm–1 s–1. This is a reasonable compromise between
conflicting suggestions in the literature [1][7][8] and is in acceptable agreement with various

Advanced Calibration for Process Simulation User Guide 36


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 2: Constant Parameters

‘clean’ data on silicon isotope diffusion and dopant diffusion in silicon that has been
published [6][8][9]. The same value for Di*Cstar was also used in [10].
The charge distribution for free interstitials and vacancies was taken from
[Link] of the Synopsys Taurus™ TSUPREM-4™ process simulator and is
based on various publications [11][12][13]. During calibration, a small change with respect
to the TSUPREM-4 parameters has been introduced for the relative abundance of
negatively charged vacancies.
For germanium, there is a lack of experimental data on self-interstitial properties. For the
diffusivity Di of interstitials in germanium, a migration energy of 1.6 eV is assumed, which is
0.4 eV higher than calculation results for uncharged interstitials published by Vanhellemont
et al. [14]. The equilibrium concentration Cstar is estimated based on the formation energy
(2.78 eV), which is 0.4 eV less than the calculated value of Vanhellemont et al. [15]. This
choice is partially motivated by the parameter choice for pure silicon, where the activation
energy for high-temperature interstitial migration, derived from experiments by Bracht [6], is
higher than the value calculated with ab initio methods. For simplicity, the prefactors for the
diffusivity and the equilibrium concentration have the same values as in silicon.

Bulk Parameters for Free Vacancies


See section 2.1.2 of AdvCal_2024.[Link].
For silicon, the diffusivity of vacancies Dv is taken from [6]. The equilibrium concentration
Cstar is chosen such that Dv*Cstar corresponds to the value from [6] at 1014.25°C. The
activation energy for Dv*Cstar (4.14 eV) is taken from [7].
For germanium, the equilibrium concentration of vacancies Cstar is based on [14], but with
the formation energy reduced by 0.4 eV. The diffusion barrier of vacancies Dv is derived
from the vacancy equilibrium concentration and the experimental vacancy-mediated
self-diffusion coefficient of germanium (13.6 × exp(–3.09 eV/kT)) cm(–2) s–1 following [16].
The resulting migration barrier is 1.14 eV.

Bulk Recombination of Point Defects


See section 2.1.3 of AdvCal_2024.[Link].
It is assumed that the bulk recombination is diffusion limited. Furthermore, the
recombination of interstitials and vacancies, which are both positively or both negatively
charged, is assumed to be suppressed by electrostatic repulsion. In regions of high
phosphorus doping, the rate of I–V recombination increases as a function of substitutional
phosphorus (PActive).

Advanced Calibration for Process Simulation User Guide 37


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 2: Constant Parameters

Boundary Conditions for Point Defects


See section 2.1.4 of AdvCal_2024.[Link].
Natural boundaries for both vacancies and interstitials are assumed. The surface
recombination length is 1 nm for Si–SiO2, Ge–SiO2, and Ge–GeO2 boundaries. Therefore,
point-defect recombination is very fast at interfaces to oxide. This has been found to work
well for many experimental conditions.
At Si–SiN boundaries, the surface recombination length for interstitials and vacancies is set
to 10 nm. This value has not been calibrated, because there is a lack of data. Therefore, the
value can be considered for fine-tuning process simulation results in devices that include Si–
SiN boundaries.
Gas–silicon and gas–germanium boundaries are used only during epitaxy. In all other
thermal process steps, bare silicon and germanium surfaces should be covered by a thin
native oxide, which is needed for modeling dose loss. At gas–Si and gas–Ge boundaries, a
surface recombination length of 1 nm is assumed for interstitials and vacancies. For
vacancies, it is not fully clear from experiments whether this is correct. The corresponding
parameter Ksurf can be considered for fine-tuning dopant diffusion during epitaxy. For
further discussion, see Surface Boundary Conditions on page 141.

Oxidation-Enhanced Diffusion
See section 2.1.5 of AdvCal_2024.[Link].
During oxidation, there is an additional flux of interstitials into silicon. The rate of interstitial
injection by oxidation is proportional to the parameter theta and depends on the velocity v
of the moving Si–SiO2 interface and the electron concentration at the silicon side of the
interface by the factor:
 1 + Gpow  mm + m + 1 + p + pp
v  ----------------------------------------------------------------------------------------------------------------------------------------------------------
2 –1 –2
(1)
mm   n  n i  + m   n  n i  + 1 + p   n  n i  + pp   n  n i 

where theta, Gpow, mm, m, p, and pp are defined in AdvCal_2024.[Link].


For dry oxidation of silicon, the values of theta and Gpow at Oxide_Silicon were calibrated
with experimental data from [17] and [18] for low-doped silicon. For wet atmosphere (partial
pressure of H2O > 0), a smaller value of theta has been calibrated from corresponding
SIMS data. theta is frequently adjusted for fine-tuning OED. The values of mm, m, p, and pp
have been calibrated with data from ultrashallow junction (USJ) formation in dry, oxidizing
atmosphere. They can be modified for the purpose of fine-tuning OED for high surface
doping.

Advanced Calibration for Process Simulation User Guide 38


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 2: Constant Parameters

Note:
The reference [17] includes data for oxidation-enhanced diffusion (OED) of P and
B. A higher diffusion enhancement was reported for P than for B, which was
ascribed to a 20% vacancy component of boron diffusion. Recent experiments
indicate that the vacancy component for B diffusion should be much less than
20% (for an overview, see [1]). Therefore, instead of ascribing the lower OED of
boron to diffusion of B–V pairs, the calibration of theta with data from [17] was
performed under the assumption that the observed difference between the OED
of P and B was mainly due to experimental inaccuracies. Giving equal weight to
the P and B data, Synopsys obtained a 10% reduction of theta with respect to
using only the P data for the extraction of theta.

Interstitial injection during dry oxidation appears to be suppressed in the case of high
mole-fraction SiGe [19]. Therefore, the value of theta at Oxide_Germanium, the upper
mole-fraction limit for SiGe–SiO2 interfaces, is set to a five orders of magnitude lower value
for oxidation in general compared to the value at Oxide_Silicon for dry oxidation. For the
calibration of oxidation of SiGe for all mole fractions, see SiGe Oxidation on page 69.
Note:
No calibration parameters for germanium OED are included in Advanced
Calibration. By default, no interstitial injection at GeOxide_Germanium is
assumed.

In addition to interstitial injection into silicon, the boundary condition for vacancies at the
moving Si–SiO2 interface during oxidation is altered. The equilibrium concentration of
vacancies defined for the moving interface is lower compared to the bulk. This effect is
implemented by the term VacInterfaceCStarFactorOED and is calibrated based on
dopant SIMS and SiGe interdiffusion profiles of oxidation experiments.

Transient-Enhanced Diffusion
See section 2.2 of AdvCal_2024.[Link].
The model of Rafferty et al. [2] is used to simulate the evaporation of silicon interstitials from
{311} defects. The reaction rates for the capture and evaporation of interstitials have been
calibrated with transmission electron microscope (TEM) data on the dissolution of {311}
defects published by Stolk et al. [20] and Saleh et al. [21].
As an initial condition, it is assumed that all interstitials generated by ion implantation are
bound in {311} clusters (InitPercent=1.0).
The selected model gives accurate results for the dissolution of {311} defects, as illustrated
in Figure 20 on page 143. However, note that the model is too simple to describe the initial
phase of ultrahigh interstitial supersaturation after ion implantation, which was reported by
Cowern et al. [9] and is ascribed to the formation and dissolution of small interstitial clusters,

Advanced Calibration for Process Simulation User Guide 39


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 2: Constant Parameters

and which is illustrated in Figure 10 on page 98. In addition, the model underestimates the
stability of interstitial clusters in situations where dislocation loops form and where most of
the excess interstitials are bound to dislocation loops rather than {311} defects. This might
happen, for example, after amorphizing implantations into silicon, as illustrated in Figure 11
on page 98.
In situations where TED is not governed by {311} defects, but rather by small clusters or
dislocation loops, the model is less accurate. A more comprehensive model for silicon
interstitial clusters has been calibrated by Zographos et al. [22]. This is switched on if you
execute the procedure AdvancedModels, defined in part 4 of the Advanced Calibration file.
The model of Rafferty et al. [2] also is used to simulate the evolution of extended interstitial
defects in germanium. The reaction rates for the capture and evaporation of interstitials
have been calibrated [23] with experimental data from Napolitani et al. [24], where B
diffusion events are correlated quantitatively with the measured positive strain associated
with the end of range (EOR) damage.

Boron Diffusion and Activation


See section 2.3 of AdvCal_2024.[Link].

Boron Diffusion Coefficient


Macroscopic values for boron diffusivity in silicon are based on the literature [1] and on
comparison to SIMS data. The diffusion of boron is assumed to be only interstitial mediated:
pdbSetDoubleArray Si B Int D { 0 {[Arr 0.123 3.566]}
1 {[Arr 3.00 3.640]}
2 {[Arr 1.01 3.98]} }

The pairing constants have been derived from Synopsys’ in-house density field theory (DFT)
analysis of B–I binding energies. They are very small, meaning that the concentration of B–
I pairs is always very small.
The migration distance of B–I pairs is close to the values proposed by Giles et al. [25] and
is based on B marker layer diffusion data in the temperature range of 500°C–800°C. It is
only relevant if the ChargedReact model is switched on for boron. In this case, the migration
distance has an influence on the length of the tail of the profile.
The Boolean switch [Link] is set to 1. With this setting,
relevant only when the ChargedCluster model is selected, the average migration distance
between formation and dissolution of B–I pairs equals the parameter lambda, unless you
scale the kick-out reaction rate by the user-defined term React<dopant><defect>Factor.
(With [Link] 0, which was the only option in Sentaurus
Process Version K-2015.06 and earlier, this is not strictly the case in strained Si and SiGe,
and in the presence of diffusion enhancement factors.)

Advanced Calibration for Process Simulation User Guide 40


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 2: Constant Parameters

To preserve backward compatibility for unstrained Si, the term ReactBoronIntFactor is


defined as "1.0/BoronDiffFactor". In this way, the migration distance of B–I pairs in
unstrained Si regions of high F concentration is the same as in AdvCal_2015.[Link].
The macroscopic values for boron diffusivity in germanium are based on the literature [26],
and the diffusion of boron is assumed to be only interstitial mediated [23]. For consistency
with the diffusivity in silicon, a negligible contribution of B–I++ pairs is included as well. Boron
undergoes very little intrinsic or transient-enhanced diffusion in germanium.

Effect of Fluorine
It is known that boron diffusion in silicon can be reduced by the presence of fluorine. The
main reason for this is that F–V clusters, which form after ion implantation, catch excess
interstitials, which are also present in silicon after implantation [27]. A complete
physics-based model for the interactions between B, I, and F must be very complex,
because F atoms are redistributed during solid phase epitaxial regrowth of amorphized
layers. Instead, in the Advanced Calibration, a simpler approach is used by default.
It is assumed that F atoms are immobile after ion implantation and that the presence of F
atoms reduces directly the diffusivity of B atoms by a factor (BoronDiffFactor), which
depends on the F concentration. This factor is close to 1 for F concentrations smaller than
1×1020 and becomes important only for very high F concentrations. It has been calibrated
by comparisons of USJ boron SIMS profiles, which were made by boron implantation and
annealing, and BF2 implantation and annealing, respectively. This simple approach is not
very predictive. Since it assumes a local B–F interaction, it is not suitable to study USJ
formation after Ge+F+B cocktail implantations.
A sophisticated F–V clustering model is available. The model is invoked by the procedures
AdvancedFluorineModel and AdvancedModels (see Part 4: Comprehensive and Slow
Models on page 96). This model describes the fluorine effect on boron diffusion in silicon
more accurately.
For germanium, no effect of fluorine on boron diffusion is assumed.

Boron Clustering
The Transient cluster model is used for silicon and germanium. Four boron atoms form a
cluster. For the solid solubility, two Arrhenius functions are combined: one covers the range
T < 1000°C and the other, T > 1000°C. In the Transient model, solid solubility defines the
balance between substitutional boron and boron in B4 clusters as follows: The active boron
reaches the solid solubility if the total B concentration reaches TotSolubility, which is
defined in Advanced Calibration as three times the B solid solubility.

Advanced Calibration for Process Simulation User Guide 41


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 2: Constant Parameters

Note:
The definition of solid solubility as used in the Transient model refers to the
balance between substitutional electrically active dopants and small dopant
clusters. This differs from the meaning of solid solubility in the context of reading
Si:B phase diagrams, where solid solubility usually describes the maximum total
concentration of B, whether substitutional or in small clusters, in the silicon-rich
phase that might coexist with a different phase such as SiB3.

The rate at which the equilibrium between active and clustered B is reached is given by the
parameter CluRate. CluRate has been calibrated using experimental data from the
Synopsys SIMS database.
Accelerated boron-cluster formation at the initial annealing stage after implantation is taken
into account by introducing the dependency of the forward-clustering rate on the interstitial
supersaturation:
pdbSetDouble Si B [Link] 3.088
pdbSetDouble Ge B [Link] 0.0
term Si name=BoronTClusterForwardFac store add \
eqn = {(Int/EqInt)^[pdbGet Si B [Link]]}
term Ge name=BoronTClusterForwardFac store add \
eqn = {(Int/EqInt)^[pdbGet Ge B [Link]]}

This basically means that interstitials serve as a catalyst for boron deactivation. In silicon,
some (an average of 1.152) interstitials are also incorporated in each B cluster formed. This
is achieved by the following statements:
pdbSetDouble Si Int [Link] 1.152
pdbSetDouble Ge Int [Link] 0.0

pdbUnSetString Si Int UserEquation


UserAddEqnTerm Si Int {ddt(B4*[pdbGet Si I [Link]])}
pdbUnSetString Ge Int UserEquation
UserAddEqnTerm Ge Int {ddt(B4*[pdbGet Ge I [Link]])}

With these statements, the formation or dissolution of boron clusters is accompanied by the
capture or release of silicon interstitials. The pdbUnsetString statements are needed to
ensure that the term in the second statement is not added twice, if you source the Advanced
Calibration file a second time.
In process simulations for SiGe in which mole fraction effects are activated by calling
SiGe_and_Stress_Effect, the terms BoronTClusterForwardFac and UserAddEqnTerm
are defined by the _AlloyCompound::redefineUserTerms callback procedure, which is
defined in section 2.14.5 of AdvCal_2024.[Link]. This procedure is called for every
diffuse command during diffusion preprocessing. The existing definitions of these terms
are thereby overwritten.

Advanced Calibration for Process Simulation User Guide 42


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 2: Constant Parameters

If SiGe_and_Stress_Effect is called with the first argument set to 1, then the following
Boolean flags will be set:
pdbSetBoolean Si AdvCal_ExactIntUserEquation 1
pdbSetBoolean Si Int NegErrCntrl 1

With the first flag set to 1, UserAddEqnTerm will be redefined by the line:
UserAddEqnTerm $mat Int "[_MoleFraction::Param $mat Int
[Link]]*TransientModelddtB4"

inside the callback procedure inside _AlloyCompound::redefineUserTerms. The term


TransientModelddtB4 is the rate at which B4 clusters form (if positive) or dissolve (if
negative).
The second flag is for better numeric robustness. With NegErrCntrl switched on for
interstitials, a possible source of numeric error is eliminated, but the simulation might take
longer, typically in the range of 0–25%, compared to simulations without that flag.
The parameters [Link] and [Link] are subject to mole
fraction interpolation. By default, [Link] depends linearly on the Ge mole
fraction, while [Link] has a parabolic dependence on Ge mole fraction,
governed by:
pdbSetDouble SiGe B [Link].X2 -0.84

You can change these parameters. However, if you want to modify not only the parameter
values, but also the formula for the term BoronTClusterForwardFac, then you must ensure
that the new formula is not overwritten when _AlloyCompound::redefineUserTerms is
called. You can copy and paste the entire _AlloyCompound::redefineUserTerms
procedure into your calibration file and define your own formula for
BoronTClusterForwardFac inside the copied procedure.

For the initial activation of boron after implantation, the basic assumption is that it is given by
a small value in crystalline silicon (AcInit=2.443e18) and by a higher value
(AmInit=2.113e20) in recrystallized areas. AmInit is in agreement with measurements by
Pawlak et al. [28].
The parameters Solubility, CluRate, AcInit, and AmInit, as well as the parameters for
the role of interstitials in B clusters have been calibrated by computer-aided optimization
against a large collection of SIMS and sheet resistance data.
For germanium, the solid solubility, the clustering rate, and the initial activation levels after
implantation (AcInit and AmInit) are calibrated against published data [26][29][30] and
data provided by AMAT-VSE (Applied Materials - Varian Semiconductor Equipment). For
long-time anneals (hours at 900°C), boron shows a very low solid solubility [26], which was
used in earlier calibration work [23].
However, higher activation levels (similar to boron activation in silicon) have been observed
after implantation and short-time anneals. To reproduce sheet resistance data for

Advanced Calibration for Process Simulation User Guide 43


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 2: Constant Parameters

germanium, AcInit is defined in section 3.2.1 of AdvCal_2024.[Link], as a function of the


local implanted B concentration:
fproc acinit_Germanium_Boron { Energy Dose } {
return "(Boron_Implant*0.2+5.0e17)"
}

The apparent discrepancy between long-time and short-time anneals might indicate that
different mechanisms are responsible for B deactivation in Ge, that is:
• The formation of small B clusters as in silicon
• A different mechanism that requires a higher thermal budget
In the Transient model, only a single type of B clusters with a single deactivation reaction
is assumed. In AdvCal_2024.[Link], the solubility of boron in Ge has been calibrated to a
high value typical for post-implantation anneal with small or medium thermal budgets (up to
several minutes at 860°C). As for silicon, the term BoronTClusterForwardFac for the
dependency of the forward-clustering rate on the interstitial supersaturation is defined for
germanium.
For the initial activation in the case of in situ boron-doped epitaxial growth of silicon and
germanium, an activation level of 4×1020 cm–3 is assumed and defined by the EpiInit
parameter.
Sometimes, for computational efficiency, the process simulation of epitaxial growth of a film
is mimicked by a combination of a deposition step and a subsequent thermal anneal. With
this simplification, results will be similar but not 100% identical to an exact simulation of
epitaxy. In this case, the value of EpiInit is ignored and you must ensure there is the
proper initialization of active and clustered dopants. For example, a 10 minutes epitaxial
growth of 10 nm of boron-doped silicon at 700°C, with a chemical B concentration of 1.0e21
could be simplified by the following commands:
deposit Silicon [Link]= { Boron= 4.e20 B4= 1.5e20 } \
thickness= 0.01 temperature=700

diffuse temp= 700 time= 10

Boron Dose Loss


For B, As, and P, the three-phase segregation model is used for dose loss modeling.
In Sentaurus Process, by default, these dopants do not share trap sites at the interface with
other dopants. For the ChargedPair model and the ChargedReact diffusion model, the flux
of dopants from silicon or germanium into the interface layer is proportional to the
concentration of dopant–defect pairs on the silicon side of the interface, and the
out-diffusion from the interface to silicon or germanium is proportional to the concentration
of point defects on the silicon side of the interface.

Advanced Calibration for Process Simulation User Guide 44


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 2: Constant Parameters

The number CMax of trap sites at the interface and the trapping and emission rates of the
three-phase segregation model have been calibrated, based on a collection of boron SIMS
profiles.
The diffusivity of trapped B atoms along the Si–SiO2, Ge–SiO2, and Ge–GeO2 interfaces is
set to zero. It can be set to a value higher than zero for increasing the lateral diffusion in 2D
or 3D simulations [31].
In oxide, the boron diffusivity is increased for very high B concentrations (> 1×1021 cm–3)
and for high F concentrations. This diffusion enhancement has been calibrated, based on
SIMS profiles. For very high B concentration in oxide (> 1×1021 cm–3), out-diffusion into the
gas contributes to the dose loss. The out-diffusion rate has been calibrated using SIMS
data.
Spacer oxides that are formed by a TEOS process and capped by a SiN layer can contain a
high concentration of hydrogen, which increases the boron diffusivity in oxide. This case is
not taken into account in the Advanced Calibration file.
Out-diffusion from bare silicon surfaces (without an oxide layer between silicon and the gas
ambient) has not been calibrated yet.
Dose loss parameters also are provided for nitride–silicon interfaces. These have the same
values as for oxide–silicon interfaces and will be considered only as a starting point for a
calibration to be performed by users.
Based on the experimental data available, boron shows no significant dose loss at the Ge–
GeO2 interface. The trapping rate at the semiconductor side is set to a lower value than for
Si–SiO2.

Fluorine
See section 2.4.1 of AdvCal_2024.[Link].
As previously explained, Advanced Calibration offers two modeling approaches for the
effects of fluorine in silicon: a very simple approach and a sophisticated approach.
In the very simple approach, it is assumed that fluorine is completely immobile after ion
implantation. Three empirical effects of fluorine on B diffusion are implemented in
section 2.3 of AdvCal_2024.[Link]:
• Fluorine reduces directly the B diffusivity in Si (using BoronDiffFactor).
• Fluorine increases the B diffusivity in oxide and, therefore, increases the dose loss.
• Fluorine increases the B out-diffusion from oxide to the gas and, therefore, increases the
B dose loss.

Advanced Calibration for Process Simulation User Guide 45


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 2: Constant Parameters

The effect of fluorine on boron diffusion and dose loss has been calibrated with SIMS data
from BF2 implantation and annealing. For all data, B and F have approximately the same
spatial distribution after ion implantation. The calibration is valid only for this particular
situation. Experimental data where fluorine was implanted separately was not taken into
account in the calibration. When F is implanted separately from B, the simple approach for
the influence of F on B diffusion is not predictive.
The sophisticated physics-based fluorine model is explained in Part 4: Comprehensive and
Slow Models on page 96.
For germanium, the very simple approach, with the assumption that fluorine is completely
immobile after ion implantation, is also chosen by default. No effect on boron diffusion is
assumed.

Nitrogen
See section 2.4.2 of AdvCal_2024.[Link].
By default, the solution Nitrogen is set to nosolve. This means that nitrogen is ignored for
diffusion, activation, and oxidation modeling. Only nitrogen implantation is simulated.
In device fabrication, there are very different use cases of nitrogen in silicon:
• Coimplantation of nitrogen, to reduce the diffusion of dopants in subsequent thermal
anneals. This can be simulated with a model for nitrogen diffusion and for the reactions
between N atoms or N2 dimers in silicon, with silicon self-interstitials and vacancies.
Such a model is switched on by the procedure AdvancedNitrogenModel (see Nitrogen
Diffusion and Clustering on page 102).
• Silicon oxidation in N2O (or NO) ambient. During N2O oxidation, N atoms can be
released and then trapped at the oxide–silicon interface. These trapped N atoms were
found to reduce the oxidation rate, especially for very thin oxides.
Sentaurus Process provides a model that describes the accumulation of nitrogen at the
oxide–silicon interface during N2O oxidation. In that model, the Massoud correction term for
thin oxides is reduced by a factor that depends on the concentration of nitrogen trapped at
the interface. However, this model is inconsistent with the AdvancedNitrogenModel
procedure for nitrogen coimplantations, in some respects: equations for nitrogen in silicon,
boundary conditions at the oxide–silicon interface, and parameter values. Therefore, the two
models should not be used together.
This is for historical reasons. The two models were developed independently, and a
combination of N implantation and N2O oxidation rarely occurs in the same process flow.
Nitrogen implantation is not widely used and N2O oxidation is used even more rarely.
Because of its inconsistency with the AdvancedNitrogenModel procedure, the N2O
oxidation model is not used by default in Advanced Calibration. Instead, the parameters of

Advanced Calibration for Process Simulation User Guide 46


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 2: Constant Parameters

the Massoud model have been calibrated against measured oxide thickness after N2O
oxidation without accounting for nitrogen trapping during N2O oxidation. See Oxidation of
Silicon in N2O Ambient on page 59.

Arsenic Diffusion and Activation


See section 2.5 of AdvCal_2024.[Link].

Arsenic Diffusivity
The values for the diffusivity of arsenic in silicon have been calibrated based on SIMS data.
For regions with high As concentration (> 2.0×1020 cm–3), the diffusivity of As is increased
sharply, following the measurements by Larsen et al. [32] and using a formula that is based
on the percolation theory and lattice Monte Carlo simulations [33]. It is assumed that a high
concentration of P increases the diffusivity of As–V pairs in a similar way as a high
concentration of As using percolation. Both vacancy and interstitial components of the
diffusion coefficients were calibrated to obtain better simulation results in the high As
concentration region. Following TSUPREM-4, the pairing constants are chosen such that
the diffusivity of arsenic-defect pairs has the same order of magnitude as the diffusivity of
unpaired defects.
The macroscopic value for arsenic diffusivity in germanium is calibrated [23] based on
different data [34][35][36][37][38], and the diffusion of arsenic is assumed to be dominated
by As+V– – pairs. For consistency with the diffusivity in silicon, As–I and As–V pairs with
different charge states are included as well. In pure germanium, As diffusion is dominated
by diffusion of As+V– – pairs. However, other arsenic-defect pair diffusivities are relevant as
well, in particular, for mole fraction–interpolated diffusivities in SiGe. Under extrinsic doping,
the diffusion of arsenic is strongly enhanced.

Arsenic Clusters
Arsenic forms clusters with vacancies in silicon [1][39] and germanium [40]. However, the
activation model Transient is the default one for simplicity. It is assumed that three arsenic
atoms form an As3 cluster. The values of the solid solubility and the clustering rate have
been calibrated.
For silicon, the calibration includes an Arrhenius break at 880°C for CluRate to allow for
good accuracy of As deactivation at low-temperature processes:
pdbSet Si As CluRate {[ArrBreak 7.4974e-5 1.40 5.51647e3 3.2 880]}

The parameter [Link] is set to 1. This option is relevant for


mole-fraction interpolation in SiGe. With the option switched on, the equilibrium active
concentration corresponds to the solubility specified in SiGe exactly as intended.

Advanced Calibration for Process Simulation User Guide 47


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 2: Constant Parameters

The impact of phosphorus on arsenic activation in silicon is taken into account by an


empirical expression for the cluster dissolution rate:
term Si name=ArsenicTClusterBackwardFac store add \
eqn= "1.0+PActive/1e20"

A more complex As–V clustering (ChargedCluster) model can be selected as well to


simulate arsenic activation in silicon. The kinetics of As cluster formation is described with a
family of four neutral clusters: As2, As2V, A3, and As3V. Figure 1 shows the model reaction
pathway.
In this model, as for the BIC model, the most important calibration parameters are the
formation energies (ClusterFormE). The most stable cluster type at high arsenic
concentration is As3.
For the initial activation of arsenic after implantation, the basic assumption is that it is given
by a small value of 2×1016 cm–3 in nonamorphized crystalline silicon (AcInit) and by a
higher value of 2×1020 cm–3 (AmInit) in recrystallized silicon.
For germanium, the solid solubility, the clustering rate, and the initial activation levels after
implantation (AcInit and AmInit) are calibrated [23] based on a collection of data [35][36]
[37].
For the initial activation in the case of in situ arsenic-doped epitaxial growth of silicon and
germanium, an activation level of 2×1020 cm–3 is assumed and defined by the parameter
EpiInit.

Figure 1 Reaction pathway for As–V clustering model

As As2 As
As33

V AsV V, I AsI AsV V

AsV As2V As3V

Arsenic Dose Loss


Arsenic dose loss is modeled by the three-phase segregation model, following the
experimental work of Kasnavi et al. [41] and the model of Oh and Ward [31]. In the Advanced
Calibration, arsenic atoms do not share interface trap sites with other dopants such as P.
The parameters for As dose loss have been calibrated based on SIMS data. The parameter
CMax, which gives the maximum concentration of As atoms that can be stored per cm2 of the
interface, is used to fine-tune the As dose loss. In the ChargedPair model and the

Advanced Calibration for Process Simulation User Guide 48


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 2: Constant Parameters

ChargedReact model, the segregation rate is proportional to the concentration of As–I and
As–V pairs.

Phosphorus Diffusion and Activation


See section 2.6 of AdvCal_2024.[Link].

Phosphorus Diffusivity
In silicon, phosphorus diffuses predominantly through interstitials at high temperatures. The
diffusivity of P–I pairs has a similar value as the fit to literature data in [1], but with an
increased relative contribution of P+I0 pairs and a reduced contribution of P+I– pairs. The
diffusivity of P through P–V pairs is smaller. It is relevant only at very high P concentration
and is most relevant when C co-doping is used.
The macroscopic value for phosphorus diffusivity in germanium is calibrated based on
different data [34][35][36][37][42][43][44][45][46], and the diffusion of phosphorus is
assumed to be dominated by P+V– – pairs. For consistency with the diffusivity in silicon and
for the purpose of mole-fraction interpolation of diffusivities in SiGe, P–I and P–V pairs with
different charge states are included as well. Under extrinsic doping, the diffusion of
phosphorus is strongly enhanced due to the increased abundance of mobile P+V– – pairs.
The pairing constants are set to 1e-22. These are very small values, so the dilute
approximation (the concentration of pairs is much smaller than the concentration of
substitutional P) is always valid. Synopsys’ in-house DFT calculations support the choice of
very small pairing constants.
The migration distance of P–I pairs is reduced in the presence of C, so as to model
extremely steep P profiles in the presence of C. This is achieved by scaling the rate of P–I
pair formation and pair dissolution by the following factor:
term Si name=ReactPhosphorusIntFactor store add \
eqn = "1.0+(CTotal/2.6e19)"

Phosphorus Clusters
Phosphorus forms clusters with vacancies in silicon [1][39] and germanium [47], and in
addition with interstitials in silicon [1][39]. However, the activation model Transient is the
default one for simplicity. The model parameters for the formation and dissolution of P
clusters have been calibrated, based on SIMS data at high concentrations. It is assumed
that three P atoms can form a P3 cluster.
Unlike all other clusters used, P3 clusters are assumed to be mobile and can diffuse through
negatively charged “pairs” between P3 clusters and V–. As a consequence, a peak of P3
clusters will broaden during thermal annealing.

Advanced Calibration for Process Simulation User Guide 49


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 2: Constant Parameters

The macroscopic diffusivity of P3 clusters in intrinsic Si and Ge, at equilibrium concentration


of V, is given by the parameters:
pdbSetDouble Si P P3_diff {[Arr 0.0662 2.8]}
pdbSetDouble Ge P P3_diff {[Arr 0.4 2.2]}

You can use P3_diff to fine-tune P diffusion in regions of high P3 cluster concentration. It
is also a recommended parameter for fine-tuning the diffusion of P during the growth of
P-doped epitaxial films (see Phosphorus-Doped Epitaxial Silicon on page 159).
The clustering model with P3 clusters only underestimates the stability of P complexes for
extremely high P concentrations (> 1022 cm–3) in silicon near the surface, which can be
obtained after high-dose implantation (for example, 5×1015 cm–2) with low energy (for
example, 2 keV), or after epitaxial growth of highly strained P-doped Si (HSSiP). To cope
with very high P concentrations, you can add a second type of P cluster by the following line:
Use_P7I

This line switches on equations for P7I clusters, which can form and dissolve according to
the reaction 2 P3 + PI <=> P7I. This equation and the necessary adjustments to the
equations for P3 clusters and P–I pairs are defined in the procedure Use_P7I, implemented
in section [Link] of AdvCal_2024.[Link].
The model offers the following parameters for fine-tuning:
• rcap_4pi_P7I scales the formation rate of P7I clusters. The parameter name reflects its
physical meaning: 4 ×  × rcap, where rcap is the capture radius (in cm).
• backward_P7I scales the dissolution rate of P7I clusters.

• vol_P7I_reac is the volume relaxation upon formation of a single P7I cluster.


Substitutional P and P3 clusters create tensile stress, while P7I clusters create
compressive strain in the model (see below). Accordingly, the stability of P7I clusters
increases in regions with tensile strain. This is modeled by multiplying the cluster
dissolution rate by the Boltzmann factor exp(P × vol_P7I_react/kT), where P is the
pressure in dyn/cm2, and kT is the thermal energy in erg.
A high concentration of P and P3 clusters causes tensile strain, which is modeled by:
pdbSetDoubleArray Si P [Link] { 0.0 0.0 1.0 -0.096 }
pdbSetDoubleArray Si P3 [Link] { 0.0 0.0 1.0 -0.292 }

P7I clusters cause compressive strain in the model. In this way, their formation describes
strain relaxation in highly P-doped regions:
pdbSetDoubleArray Si P7I [Link] { 0.0 0.0 1.0 0.59 }

Strain relaxation of epitaxially grown HSSiP layers during post-epi thermal annealing has
been calibrated against data from Li et al. [48] and additional data provided by AMAT-VSE.
In that calibration, stress effects in the procedure SiGe_and_Stress_Effect have been
activated.

Advanced Calibration for Process Simulation User Guide 50


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 2: Constant Parameters

Amorphized Si regions recrystallize at the onset of thermal annealing. If the concentration of


P in amorphized regions is high (more precisely, it exceeds the value of AmInit), then some
of the P atoms are assumed to be in clusters, after solid phase epitaxial regrowth. If the P7I
cluster model is switched on, then the initialization of P in P3 or P7I clusters is handled as
follows:
• Up to a clustered P concentration given by the parameter ThresholdAmor, all
phosphorus in P clusters is initially put into P3 clusters.
• If the concentration of P atoms, which go into P clusters, exceeds ThresholdAmor, then
the excess concentration is distributed as follows: The fraction FractionAmor is put into
P7I clusters, and the remaining fraction (1–FractionAmor) is put into P3 clusters.
The initialization of P3 and P7I clusters in amorphized regions is governed by the following
parameters:
pdbSetDouble Si P7I ThresholdAmor 2e21
pdbSetDouble Si P7I FractionAmor 0.38
pdbSetDouble Ge P7I ThresholdAmor 2e21
pdbSetDouble Ge P7I FractionAmor 0.0

A more complex P–V and P–I clustering (ChargedCluster) model can be selected as well
to simulate phosphorus activation in silicon. The kinetics of P cluster formation is described
with a family of four neutral clusters: P2, P2V, P3V, and P2I. In this model, as for the BIC
model, the most important calibration parameters are the formation energies
(ClusterFormE). Note that the complex model does not have a higher accuracy than the
default one in general.
For the initial activation of phosphorus after implantation, the basic assumption is that it is
given by a small value of 4×1018 cm–3 in nonamorphized crystalline silicon (AcInit) and by
a higher value of 3.0×1020 cm–3 (AmInit) in recrystallized areas.
For germanium, the solid solubility, the clustering rate, and the initial activation levels after
implantation (AcInit and AmInit) are calibrated based on a collection of data [35][36]
[37][42][43][44][45][46].
For the initial activation in the case of in situ phosphorus-doped epitaxial growth of silicon
and germanium, an activation level of 2×1020 cm–3 is assumed and defined by the EpiInit
parameter.

As–P Clusters in Silicon


A simple model for As–P clusters in silicon is implemented in section [Link] of
AdvCal_2024.[Link] as an alternative approach to reduce P diffusion in the region with
high As concentration. It is not switched on by default because the calibration is not reliable
and because the direct modification of P diffusivity and As diffusivity in co-doped regions
provides a superior overall accuracy.

Advanced Calibration for Process Simulation User Guide 51


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 2: Constant Parameters

After sourcing the Advanced Calibration file, you can switch on the As–P cluster model with
the command:
Use_As3P_clusters

The command Use_As3P_clusters is a procedure defined in section [Link] of


AdvCal_2024.[Link], which instructs Sentaurus Process to solve for the mixed cluster
As3P. The parameters As3P_k1 and As3P_k2, defined in section [Link], govern the
formation and dissolution rate of such clusters.
Note:
The As–P clustering model must only be used when using the Transient cluster
model for As.

Phosphorus Dose Loss


Phosphorus dose loss is described by the three-phase segregation model. Phosphorus
atoms can be incorporated into the silicon–SiO2 interface.
In addition, it is assumed that two P atoms located at the silicon–SiO2 interface can form P2
pairs. The equilibrium concentration of pairs increases quadratically with the concentration
of unpaired P atoms trapped at the interface. The maximum concentration of P2 pairs can
be reached theoretically when the concentration of unpaired P atoms equals its maximum
value CMax and is governed by the parameter P2trapMax.
The calibration of P and P2 trapping at the interface is performed in such a way that, for low
P concentrations at the silicon side of the interface (< 1017 cm–3), unpaired P atoms govern
the P dose loss. For high P concentrations (> 1020 cm–3) and inert anneals (Si–SiO2
interface not moving), a considerable fraction of phosphorus trapped at the interface is
bound in P2 pairs. For oxidation (Si–SiO2 interface moving), only a small concentration of P2
pairs is formed even at high P concentration.
The following observation can be useful for fine-tuning. The high default value of CMax works
well for thermal anneal after implantations. For thermal anneals after epitaxial growth of SiP
layers, a much smaller value of CMax might be needed. Apparently, the dose loss
corresponding to the trapping of single P atoms at the oxide–silicon interface is higher in the
presence of implantation damage at such an interface. In contrast, the calibrated value for
P2trapMax, governing the maximum concentration of P2 pairs trapped at the interface,
works for both implantation + anneal and epitaxy + anneal recipes.
At oxide–silicon interfaces, the presence of arsenic reduces phosphorus dose loss. Likely,
As atoms block interface traps for P. To take this into account, the parameters CMax and
P2trapMax are modified by the following expressions, in which Arsenic represents the
concentration of As trapped at the interface:
term Ox /Si name=PhosphorusCMaxFactor add \
eqn="(1.8e13/(1.8e13+Arsenic))"
term Ox /Si name=P2trapMaxFactor add eqn="(2.5e13/(2.5e13+Arsenic))"

Advanced Calibration for Process Simulation User Guide 52


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 2: Constant Parameters

This dose loss model was developed due to the need to calibrate, with a consistent set of
parameters, the P dose loss for low and high interface concentrations, using the Synopsys
SIMS database. The model is supported by the results of first-principles calculations on the
mechanism of P segregation at the Si–SiO2 interface [49]. At the Ge–GeO2 interface, P
shows strong dose loss also at low concentrations [50].
The interface trap density, emission, and trapping rates, and the pair formation and
dissolution rates have been calibrated based on phosphorus SIMS data ranging from
ultrashallow junction formation to long-time oxidation.
The last lines of section 2.6.3 of AdvCal_2024.[Link] contain the Alagator implementation
of the P2 pair trap formation at Si–SiO2 interfaces.
Note:
When using Segregation boundary conditions at Si–SiO2, Si–Si3N4, or Ge–SiO2
interfaces instead of ThreePhaseSegregation boundary conditions, switch off
the solution P2trap:
pdbSet Ox_Si P BoundaryCondition Segregation
solution name=P2trap nosolve store

Arsenic–Phosphorus Co-Diffusion
The physics of P and As diffusion is very complex if P and As are implanted and annealed
together, in particular, for high As implantation doses (> 1014 cm–2). The following empirical
approaches are included in the Advanced Calibration file to achieve a good accuracy for As–
P co-diffusion in silicon:
• The P diffusivity is modified as a function of arsenic concentration using the terms
PhosphorusIntDiffFactor and PhosphorusVacDiffFactor. These terms, if defined,
are multiplied by the diffusivity of P–I and P–V pairs, respectively. The diffusivity
decreases as follows (section [Link] of AdvCal_2024.[Link]):
term Si name=AsAs3 store add eqn= {(Arsenic + As3 * 0.6)}
term Si name=PhosphorusIntDiffFactorDopant store add \
eqn= {(([Arr 2.2e25 1.5]+[Arr 4.2e31 3.0])/([Arr 2.2e25 1.5]+ \
[Arr 4.2e31 3.0]+AsAs3))}
term Si name=PhosphorusVacDiffFactorDopant store add \
eqn= {([Arr 2.7e22 0.5]/([Arr 2.7e22 0.5]+AsAs3))}

• The diffusivity of P3 clusters is also modified as a function of arsenic concentration. It is


multiplied by the term P3DiffFactor, which is defined as (section [Link] of
AdvCal_2024.[Link]):
term Si name=P3DiffFactor store add eqn= {(1.2e20/(1.2e20+AsAs3))}

Advanced Calibration for Process Simulation User Guide 53


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 2: Constant Parameters

• The pressure effects are redefined inside the SiGe_and_Stress_Effect procedure


(section 2.14.2 of AdvCal_2024.[Link]):
term Si name=PhosphorusIntDiffFactorPressure store add eqn= 1.0
term Si name=PhosphorusVacDiffFactorPressure store add eqn= 1.0
term Si name=PhosphorusIntDiffFactor store add \
eqn= {PhosphorusIntDiffFactorDopant * \
PhosphorusIntDiffFactorPressure}
term Si name=PhosphorusVacDiffFactor store add \
eqn= {PhosphorusVacDiffFactorDopant * \
PhosphorusVacDiffFactorPressure}

• In this implementation, PhosphorusIntDiffFactor is defined as a product of two terms.


The factor PhosphorusIntDiffFactorDopant describes the modification of P–I
diffusivity in the presence of As. The factor PhosphorusIntDiffFactorPressure can
be used to describe the impact of pressure on P–I diffusivity. It is 1.0 by default and can
be redefined to include the impact of pressure if you switch on stress effects in a process
simulation with the SiGe_and_Stress_Effect procedure (see Stress Effect (Stress_Eff)
on page 62). This implementation allows you to separately fine-tune the impact of
dopants and the impact of stress on the diffusivity of P–I pairs.
• Similarly, the terms PhosphorusVacDiffFactor, ArsenicVacDiffFactor, and
ArsenicIntDiffFactor also are defined as a product of two terms.

• The As diffusivity is modified as a function of arsenic and phosphorus concentration


using the terms ArsenicVacDiffFactor and ArsenicIntDiffFactor. The diffusivity
increases as follows (section [Link] of AdvCal_2024.[Link]):
term Si name=ArsenicIntDiffFactorDopant store add \
eqn= {(1.0+((PActive*0.6+AsActive)/[Arr 1.8e21 0.25])^3.5)}
term Si name=ArsenicVacDiffFactorDopant store add \
eqn= {(1.0+[ArrBreak 1.0 0 5.1920595e-7 -1.65 1050] \
*((PActive*0.3+AsActive)/2.1e20)^3.0)}

• The pressure effects are redefined inside SiGe_and_Stress_Effect (section 2.14.2 of


AdvCal_2024.[Link]):
term Si name=ArsenicIntDiffFactorPressure store add eqn= 1.0
term Si name=ArsenicVacDiffFactorPressure store add eqn= 1.0
term Si name=ArsenicIntDiffFactor store add \
eqn= {ArsenicIntDiffFactorDopant * ArsenicIntDiffFactorPressure}
term Si name=ArsenicVacDiffFactor store add \
eqn= {ArsenicVacDiffFactorDopant * ArsenicVacDiffFactorPressure}

• The dissolution of As clusters is modified in the presence of substitutional P using the


term ArsenicTClusterBackwardFac (section [Link] of AdvCal_2024.[Link]):
term Si name=ArsenicTClusterBackwardFac store add \
eqn= "1.0+PActive/1e20"

Advanced Calibration for Process Simulation User Guide 54


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 2: Constant Parameters

Depending on the process window of interest (window of As dose, As energy, P dose, P


energy, and annealing conditions), you might need some additional fine-tuning of the P or
As parameters to achieve a good fit between simulation and experimental data.
For more information, see Co-diffusion of Arsenic and Phosphorus on page 163.

Indium Parameters
See section 2.7 of AdvCal_2024.[Link].
For silicon, the diffusivity values have been obtained from calibration of SIMS data. The
pairing constants are chosen such that the diffusivity of In–I pairs is approximately equal to
the diffusivity of free interstitials.
The indium solid solubility and the clustering rate have been calibrated according to the
needs for indium diffusion modeling. For medium concentrations of indium (1017 cm–3 –
5×1018 cm–3), indium SIMS profiles typically do not show a peak of immobile indium
associated with immobile clusters. Instead, all indium atoms seem to be mobile, which is
reflected by a relatively high value of solid solubility in the process simulation. However, with
the present calibration, the electrical activation of indium at medium concentrations is
sometimes overestimated, due to the high value used for the solid solubility.
The dose loss of indium during annealing is diffusion limited. Almost all indium atoms, which
diffuse to the Si–SiO2 interface, are built into the oxide. This is reflected by a very low
segregation coefficient. Furthermore, it is assumed that indium evaporates at the oxide–gas
surface.
Indium diffusion and dose loss are well calibrated for typical indium channel or halo
implantations below the amorphization dose. For high indium doses (typically
> 5×1013 cm–2) and for the annealing of preamorphized wafers, the modeling of indium is
not accurate for the following reason: During solid phase epitaxial regrowth (SPER), indium
atoms are pushed towards the surface, due to a segregation effect between the crystalline
and amorphous phases of silicon [51][52]. This segregation increases the overall dose loss
of indium dramatically. However, the SPER is not modeled by default in the Advanced
Calibration of Sentaurus Process, but it can be activated by the procedure
AdvancedSPERModel (see Solid Phase Epitaxial Regrowth on page 103).

Indium diffusion, clustering, and segregation in germanium has not yet been calibrated.
Therefore, the same parameter values are assumed in germanium as in silicon.

Antimony Parameters
See section 2.8 of AdvCal_2024.[Link].

Advanced Calibration for Process Simulation User Guide 55


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 2: Constant Parameters

The macroscopic values for antimony diffusivity in silicon are based on the literature [1].
Antimony diffuses through Sb–V pairs. In highly doped regions (antimony concentration
> 2.0×1020), the diffusivity is enhanced as observed by Larsen et al. [32].
The macroscopic values for antimony diffusivity in germanium are calibrated based on a
single literature source [37], and the diffusion of antimony is assumed to be dominated by
Sb+V– – pairs. For consistency with the diffusivity in silicon, Sb–V pairs with different charge
states are included as well. Their diffusivity is small in pure Ge, but is relevant for the
mole-fraction interpolation of Sb–V diffusivities in SiGe.
The pairing constants are chosen such that the Sb–defect pair diffusivity has a similar value
as the diffusivity of the unpaired defect.
The cluster parameters and the interface segregation have been calibrated based on SIMS
data and sheet resistance data. No ultrashallow junction Sb profiles in silicon have been
used for the calibration, therefore, the model parameters are not expected to be predictive
for Sb ultrashallow junction formation. The diffusivity of Sb in SiO2 is taken from Aoyama
et al. [53], and the ones in Si3N4 and GeO2 are assumed to be the same.

Carbon Diffusion
See section 2.9 of AdvCal_2024.[Link].

Carbon Diffusivity in Silicon


The macroscopic diffusivity of carbon in silicon is taken from the literature [1]. The diffusivity
of C–I pairs has been calibrated. The Frank–Turnbull mechanism is switched off. Carbon
interstitials are only formed by the kick-out mechanism.

Carbon Clustering in Silicon


Four types of carbon–interstitial cluster are taken into account: C2, C2I, C3I2, and C3I3.
The following reactions for the formation and dissolution of clusters are considered:
• C–I + C <=> C2I
• C2 + I <=> C2I
• C2I + C–I <=> C3I2
• C3I2 <=> C3I3 + V
The clustering rates, together with the diffusivity of C–I pairs, have been calibrated using
SIMS data from marker layer experiments [54][55][56] and from data on ultrashallow
junction formation following Ge+C+B [57] and Ge+C+BF2 ‘cocktail’ implantations. The
migration distance of C–I pairs is calibrated in the Sentaurus Process defaults. It is assumed

Advanced Calibration for Process Simulation User Guide 56


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 2: Constant Parameters

that, in regions that are amorphized by ion implantation and recrystallized by solid phase
epitaxy, carbon is in the substitutional state up to concentrations of 3.0×1020 cm–3 and else
in C2 clusters immediately after the recrystallization. In contrast, in nonamorphized regions,
carbon is assumed to be mostly in C3I2 clusters at the beginning of thermal annealing.
During the formation of C–I clusters, the concentration of free interstitials is reduced and
vacancies are created. As a consequence, B diffusion is retarded and Sb diffusion is
enhanced. The increase of the solid solubility of boron in regions of high carbon
concentration [57] is not taken into account in the Advanced Calibration.
The C–I clustering model allows you to obtain accurate results also for the analysis of
phosphorus ultrashallow junction formation by Si+C+P ‘cocktail’ implantations and
subsequent spike annealing [58][59].

Carbon in Germanium
According to the literature [60], carbon diffuses very slowly through interstitials in
germanium. Carbon diffusion is modeled by the ChargedPair model, but it is assumed to be
uncharged.
Detailed information or experimental data on the solubility or substitutionality of carbon in
germanium after implantation, SPER, or annealing is missing. Therefore, the current
assumption is that carbon has a high solubility and initial activation after implantation
(AcInit and AmInit). The Transient cluster model is used for carbon clustering, with two
carbon atoms assumed to form a cluster without interstitials.
Based on atomistic simulation [61][62] and comparison with experiments [60], carbon forms
mixed clusters with arsenic or phosphorus and vacancies, which reduce dopant diffusion.
Mixed As–C–V and P–C–V clusters are modeled by the ComplexCluster model. The
clustering and dissolution rates are calibrated [23] based on literature data [45][60].
Based on the experimental data available, carbon shows no significant dose loss at the Ge–
GeO2 interface. Therefore, the simple Segregation model is used with balanced
segregation.

Intrinsic Carrier Concentration


See section 2.10 of AdvCal_2024.[Link].
For silicon, the intrinsic carrier concentration ni is taken from Morin and Maita [63]. As an
alternative, a simplified formula (ni_MM_simple) has been prepared. This simplified formula
is frequently used in other silicon process simulators.
The intrinsic carrier concentration is based on the one from silicon and corrections for band
gap and effective density-of-states for SiGe with Ge mole fraction 1 (see Impact of Stress on
Electrostatic Potential on page 71).

Advanced Calibration for Process Simulation User Guide 57


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 2: Constant Parameters

Oxidation
See section 2.11 of AdvCal_2024.[Link].
By default, the parameters of the Deal–Grove model [64] for thick oxides and the
parameters of the Massoud model for the initial regime of the oxidation are well calibrated
for silicon. Only the Massoud model parameters for wet oxidation are redefined in Advanced
Calibration, as described in Massoud Model Parameters for Wet Oxidation of Silicon.
For germanium oxidation, only dry oxidation of Ge (100) and (111) surfaces [65] has been
calibrated, and the calibration parameters are available as Sentaurus Process defaults.
SiGe oxidation has been calibrated and calibration parameters are included in Advanced
Calibration, as described in Parameters for Wet and Dry Oxidation of SiGe.

Massoud Model Parameters for Wet Oxidation of Silicon


Advanced Calibration contains parameters for the Massoud model for wet (H2O)
atmosphere. According to this model, for very thin oxides (thickness < 15 nm), the silicon
oxidation rate is increased with respect to the Deal–Grove model for oxidation.
Without Advanced Calibration, the parameters C0 and CW default to zero for wet oxidation,
which means that the Massoud model is effectively switched off and underestimates the
oxide growth of thin oxides in wet atmosphere.
For silicon surfaces with a (100) crystal orientation, the calibration gives an immediate
accuracy of ±15% for oxidation rates in wet or mixed atmosphere. For other crystal
orientations, less data was available for calibration and the parameters are less reliable. If
fine-tuning is required, you need to consider adjusting the parameters C0 (±25%) and L0
(±25%). If this is not sufficient, you can consider other parameters for calibration such as the
initial oxide thickness, or doping and pressure dependency of the oxidation rate. For the
pressure dependency of the Massoud correction term, the parameter value
MassoudPress=1.0 is proposed. If necessary, slightly smaller values (for example, 0.8 or
0.9) can be considered for fine-tuning.

Parameters for Wet and Dry Oxidation of SiGe


Advanced Calibration contains parameters for the Deal–Grove model and the Massoud
model for wet and dry oxidation of SiGe. The parameters for Oxide_Germanium, the upper
mole-fraction limit for SiGe–SiO2 interfaces, are included in sections 2.11.2 and 2.11.3 of
AdvCal_2024.[Link], and are effective when SiGe parameter interpolation is activated
(see Effect of Germanium and Stress on page 61).
For details on the calibration of oxidation rates, see SiGe Oxidation on page 69.

Advanced Calibration for Process Simulation User Guide 58


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 2: Constant Parameters

Oxidation of Silicon in N2O Ambient


In Advanced Calibration, the solution Nitrogen is set to nosolve. The parameters of the
Massoud model have been calibrated against measured oxide thickness after N2O oxidation
without accounting for nitrogen trapping during N2O oxidation.
This calibration is contained in section [Link] of AdvCal_2024.[Link]. It is based on
hardware data for oxidation of <100> surfaces [66][67][68][69][70] in the temperature range
885–1200°C and on data for oxidation of <111> surfaces [66][71] in the temperature range
900–1100°C. For <110> surfaces, no calibration has been performed, but the parameter
values have been copied from the calibrated values for <100> surfaces.
For simple oxidation recipes in N2O ambient, the hardware data is well reproduced, as for
example shown in Figure 2. However, this calibration, which does not explicitly model the
accumulation of nitrogen at the interface, has limitations when applied to sequences of
several oxidation steps in different atmospheres.

Figure 2 Oxide thickness after oxidation in N2O atmosphere: comparison of measurements


of Ting et al. [66] (symbols) to process simulation results with Sentaurus Process
Advanced Calibration (lines)
14
1200°C

12 1150°C
1100°C
Oxide Thickness [nm]

10 1050°C
1000°C
8 950°C
TCAD 1200°C
6
TCAD 1150°C
TCAD 1100°C
4
TCAD 1050°C

2 TCAD 1000°C
TCAD 950°C
0
0 50 100 150 200 250 300 350 400 450
Time [s]

In section [Link] of AdvCal_2024.[Link], the procedure N2O_Oxidation_Defaults is


defined. It allows you to switch on the N2O oxidation model of Sentaurus Process in one line.
In that procedure, the parameters of the Massoud model for N2O oxidation are reverted to
the Sentaurus Process defaults.
This procedure is provided for the convenience of those who prefer the N2O oxidation model
of Sentaurus Process. It is usually not advised, because better accuracy for simple N2O
oxidation recipes is obtained with the Advanced Calibration defaults. In studies where

Advanced Calibration for Process Simulation User Guide 59


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 2: Constant Parameters

several oxidation steps are combined (for example, N2O oxidation after O2 oxidation), the
N2O model with its additional parameters for the accumulation of nitrogen at the interface
and for its impact on the oxidation rate might be a good choice.
In any case, you should not use N2O_Oxidation_Defaults together with
AdvancedNitrogenModel. These two models are inconsistent.

Smoothing of Amorphous–Crystalline Interface


See section 2.12 of AdvCal_2024.[Link].
After ion implantation some silicon regions can be amorphized. By default, the solid phase
epitaxial regrowth is not simulated explicitly in Advanced Calibration, but the initial
conditions for point defects and dopant activation are set differently in amorphized or
crystalline regions.
At the amorphous–crystalline interface, there is a sharp step in the initial conditions for the
diffusion solver. Unless the mesh is very fine at the amorphous–crystalline interface, this
might lead to a numeric error in the result. To reduce this error, Sentaurus Process can
smooth the initial point-defect concentration at the amorphous–crystalline interface with the
parameter AmorpGamma. Synopsys has investigated typical situations and has found that the
value AmorpGamma=0.8 often gives the smallest numeric error.
In simulations with coarse meshes (mesh spacing of 2–4 nm across amorphous–crystalline
interfaces), the smallest numeric error is obtained with even smaller values of AmorpGamma,
for example, AmorpGamma=0.6.

Selecting Implantation Tables


See section 2.13 of AdvCal_2024.[Link].
For analytic implantation, improved tables are switched on by the following statements:
pdbSet ImplantData BF2 TableVersion 2008.09
pdbSet ImplantData In TableVersion 2008.09
pdbSetString ImplantData As TableVersion 2010.12
pdbSetString ImplantData B TableVersion 2010.12
pdbSetString ImplantData P TableVersion 2010.12
pdbSetString ImplantData Sb TableVersion 2010.12

For high-energy boron implantations, an improved table is available, but it is not switched on
by default. To select it for energy > 200 keV, set the switch:
pdbSetBoolean ImplantData B UseHighEnergyBoronTable 1

For details, see the corresponding explanations in Implantation Preprocessing and


Postprocessing on page 90.

Advanced Calibration for Process Simulation User Guide 60


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 2: Constant Parameters

Effect of Germanium and Stress


See section 2.14 of AdvCal_2024.[Link].
Strained and relaxed SiGe, strained-silicon layers, and process-induced stress are used
widely in state-of-the-art silicon process technology. Section 2.14 of AdvCal_2024.[Link]
is dedicated to the effects of Ge concentration and stress on the diffusion, clustering, and
segregation of dopants and point defects. Some models and part of the calibration are
summarized in [72].
Note:
In the Advanced Calibration models for the impact of Ge on dopant and defect
diffusion, it is assumed that germanium is treated as an impurity in silicon (rather
than being present in a separate ‘SiGe’ material).
The calibration focuses on the full Ge mole fraction range from 0% to 100%.
However, it is less predictive for very high concentrations of Ge (> 55%). Most of
the experimental data available for calibration covers only low Ge mole fractions
(< 55%) or pure Ge (100%).
The calibration of stress and Ge effects is based on a relatively small set of
measured data, many of them published marker layer experiments, which focus
on equilibrium conditions. The models have not yet been tested rigorously against
SIMS data for nonequilibrium annealing conditions and against electrical data
from real-device fabrication processes.

Bandgap narrowing due to Ge content is always taken into account.


The diffusivity for Ge in silicon and oxide [73] are defined for constant diffusion models.
However, the Ge diffusion is only assumed to be constant if no SiGe effects are activated as
described in the following.
The calibration of additional physical effects related to Ge or stress is contained in the
procedure SiGe_and_Stress_Effect. It has four Boolean arguments: Ge_Chem_Eff,
Stress_Eff, Segreg_Model, and Strained_Overlayer. The procedure turns on
stress-dependent oxidation. Moreover, each of the four arguments, if set to 1, switches on
additional physical effects. By default, none of these additional effects is switched on. This
saves CPU time in situations where the effects can be neglected. After sourcing
AdvCal_2024.[Link], you can switch on the required effects by a single command.

For example, the following command switches on the first three effects (chemical effect of
germanium, stress effects in bulk silicon, and segregation at Si–SiGe interfaces), but not the
fourth effect (strained overlayer):
SiGe_and_Stress_Effect 1 1 1 0

Advanced Calibration for Process Simulation User Guide 61


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 2: Constant Parameters

Arguments of SiGe_and_Stress_Effect
Before describing in detail the impact of SiGe_and_Stress_Effect, the impact of each
argument is summarized individually.

Ge Chemical Effect (Ge_Chem_Eff)


Switching on Ge_Chem_Eff activates SiGe parameter interpolation between the silicon and
germanium parameters.
Note:
Interpolation is performed only in silicon regions where the maximum Ge
concentration exceeds the threshold concentration [Link] defined by
the parameter pdbGet SiGe Ge [Link]. By default, [Link] is
equal to 5e20.

Germanium content dependency of the following parameters is taken into account in


AdvCal_2024.[Link]:

• Band gap, carrier effective density-of-states (DOS), intrinsic carrier concentration, and
permittivity
• Point-defect diffusivities, equilibrium concentrations, and surface recombination rates
• Oxidation rates, as well as interstitial injection and reduced equilibrium concentration of
vacancies at oxidizing surfaces.
• Dissolution rate of {311} defects, and rate of {311} defect transformation to dislocation
loops
• B, As, P, and Sb diffusivity, migration distance, solubility, clustering rate, dose loss, and
initial conditions after implantation
The value of Ge_Chem_Eff controls the definition of the xMoleFraction field saved into the
TDR file and controls whether the new or the old syntax is used for interpolation of
mechanical parameters and the lattice mismatch stress simulation. For details, see
Overview of Advanced Calibration File on page 300.
In addition, an equation for Ge diffusion in SiGe is defined, which takes into account the
dependency of Si or Ge interdiffusion on the point-defect concentration.

Stress Effect (Stress_Eff)


Switching on Stress_Eff causes additional physics to be taken into account. The stress
effect is included for the following parameters:
• Band gap

Advanced Calibration for Process Simulation User Guide 62


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 2: Constant Parameters

• Point-defect equilibrium concentration and diffusivity


• Dissolution rate of {311} defects, and rate of {311} defect transformation to dislocation
loops
• B, As, P, Sb, In, and Ge diffusivity
• B, As, P, and Sb solid solubility
• Formation energy of BICs and As–V clusters
Except for the additional bandgap narrowing, which depends on individual strain-tensor
components, only the hydrostatic pressure is used for the modification of the diffusion and
activation models. Anisotropic effects, which would require the use of diffusivity tensors, are
not included in Advanced Calibration. The unit of pressure in Sentaurus Process is dyn/cm2
(10 dyn/cm2 = 1 Pa).
Sentaurus Process takes into account various stress-causing mechanisms (see the
Sentaurus™ Process User Guide). One of these is stress from lattice mismatch due to the
presence of impurities. The lattice mismatch due to Ge and C is taken into account, with the
parameters adjusted according to the literature (see Mole Fraction–Dependent Mechanics
Parameters for SiGe on page 302). In typical cases, the impact of carbon-induced strain on
dopant diffusion and activation is small, but not negligible. Tensile stress from lattice
mismatch due to high concentrations of P, P3 clusters, and – if P7I clusters are switched on
– compressive stress from P7I clusters is taken into account, as well. Stress from other
stress-causing impurities, such as B or extended defects, has not been taken into account
in the calibration of Advanced Calibration.
This additional stress source can be switched on with the strain_profile command, as
shown below, but – since all models are calibrated without this additional stress source –
switching on stress from impurities other than Ge might require a recalibration of other
process model parameters, such as B solid solubility:
strain_profile Si species=Boron strain= { 0 -0.30 } ratio= { 0 1 }

Segregation at Si–SiGe Interface (Segreg_Model)


Boron and phosphorus have been found to segregate at Si–SiGe interfaces, in experiments
using epitaxial layers of strained SiGe embedded in Si, or strained silicon embedded in
relaxed SiGe. Boron segregates into SiGe; whereas, phosphorus is pushed out of the SiGe
region. A theoretical analysis [74] indicates that the dominant driving force for this
segregation is the pressure gradient in the case of boron, and the difference in band
structure between Si and SiGe in the case of phosphorus. The same but weaker effect as
for phosphorus is assumed for arsenic as well.

Advanced Calibration for Process Simulation User Guide 63


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 2: Constant Parameters

Effect of Strained Overlayers (Strained_Overlayer)


The model developed by Cowern [75] predicts an influence of overlayer strain on the
point-defect concentration in the silicon. That effect was confirmed by experiments showing
a strong dopant diffusion change under high-level stressed nitride layers [76][77].
The change of equilibrium concentration due to overlayer strain can be expressed by the
factor exp(Pov Vf /kT), in which Pov is the hydrostatic pressure in the overlayer, and Vf is the
volume of an atom in silicon. This effect is switched on by setting the argument
Strained_Overlayer in the procedure SiGe_and_Stress_Effect to 1.

Since the impact of strained overlayers is not fully understood yet (especially in the situation
where thin oxides are in between silicon and strained overlayers), the procedure
SiGe_and_Stress_Effect is most widely applied with the arguments 1 1 1 0:
SiGe_and_Stress_Effect 1 1 1 0

Implementation of SiGe_and_Stress_Effect
This section discusses the implementation of SiGe_and_Stress_Effect.

Chemical SiGe Alloy Effects


If Ge_Chem_Eff is equal to 1, then the SiGe parameter interpolation between the silicon and
germanium parameters is activated by:
pdbSet Si [Link] 0
pdbSet Ge [Link] 0

This also switches on the following mapping of Ge concentration to the Ge mole fraction in
Si:
C Ge
xMoleFraction = 2 ------------------------------------------------------------------------------------------- (2)
2
LD Si + LD Si + 4C Ge  LD Ge – LD Si 

This complex formula (and a similar one for Ge material) replaces the simpler formula:
C Ge
xMoleFraction = ------------ (3)
LD Si

which is used for [Link] 1. xMoleFraction is used for


parameter interpolation in SiGe in process and device simulation models. The complex
formula gives slightly higher xMoleFraction for the same Ge concentration, due to the
different lattice constants of Si and Ge.

Advanced Calibration for Process Simulation User Guide 64


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 2: Constant Parameters

Impact of Germanium on Electrostatic Potential


The energy bandgap change along with mole fraction shows a very nonlinear curve due to
the transition between the X-valley (x < 0.8) and L-valley (x > 0.8) [78]. With activated SiGe
parameter interpolation, the parameter [Link] for the generic interpolation
method is used for SiGe to model such nonlinearity.
The following default bandgap narrowing expression, fitting experimental data based on
optical measurements from [78], is used:
2 2
Eg =  0.3758   0.7795 – x  – 0.22835  – 0.2406  exp  –   1 – x   0.1176   (4)
Additional effects are taken into account as follows:
• The permittivity of Ge-doped silicon is calculated by the following formula, in which xGe
is the Ge concentration in Si, Si = 11.7 and Ge = 15.8:
 =  1 – x Ge    Si + x Ge   Ge (5)
• The mole fraction dependency of the product of the DOS in the conduction and valence
bands, NC × NV, is assumed to be linear for computational efficiency (1 – xGe × 0.705),
which is a good approximation of the following nonlinear factor taken from [79]:
34
 1 – x Ge  0.47  0.81  (6)
Note:
Since the Potential [Link] model is deactivated, the (small) change of
electron affinity between Si and SiGe is not taken into account in a precise way.
For simplicity, it is assumed that the midgap energy level does not depend on the
Ge concentration.

Impact of Germanium on Point Defects


In contrast to III–V compound semiconductors, which have well-defined stoichiometry with
distinct lattice sites for group III and group V atoms, SiGe allows arbitrary stoichiometry and
random ordering of Si and Ge atoms. As a consequence, in SiGe, there is no need to
differentiate between Si-like and Ge-like interstitials, or to define Si-type and Ge-type
vacancies. Instead, it is fully sufficient to consider the density of ‘interstitials’ (extra (Si or Ge)
atoms in the lattice) and ‘vacancies’ (vacant lattice sites) in the model.
In the literature, there is no agreement on the impact of Ge on point-defect parameters
except for the vacancy transport capacity and equilibrium concentration. Computational
studies of vacancies in SiGe have found an ~1.0 eV vacancy formation energy decrease in
Ge [80][81] [82]. However, in Advanced Calibration, a decrease of the V formation energy of
only 0.39 eV is assumed in germanium. The main difference of the transport capacities for
silicon and germanium is modeled by different vacancy diffusivities. The interpolation
between silicon and germanium is parabolic in logarithmic scale and was calibrated based
on SiGe interdiffusion experiments [83].

Advanced Calibration for Process Simulation User Guide 65


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 2: Constant Parameters

Both the interstitial equilibrium concentration and the interstitial diffusivity in Ge are
assumed to be larger than in silicon. In SiGe, a parabolic mole-fraction interpolation in
logarithmic scale is applied for interstitial diffusivity, as well as for the equilibrium
concentration.
The I–V bulk recombination in SiGe is increased by a mole fraction–dependent factor
BulkRecFac, which equals 1.0 for pure Si and pure Ge, and has its maximum value for
Si50Ge50. This increased I–V recombination in SiGe has been found to be beneficial for the
modeling of phosphorus transient-enhanced diffusion in SiGe after low-dose or high-dose P
implantation. I–V recombination reduces the P diffusion tail from P–I diffusion most
efficiently for high-dose P implantations.
To ensure the same surface recombination length of point defects for Si, SiGe, and Ge
surfaces, the SiGe interpolation of the surface recombination rate follows the interpolation of
the point-defect diffusivities.

Impact of Germanium on Extended Defect Parameters


The {311} interstitial clusters are less stable in the presence of Ge, and the transformation
of {311} defects into dislocation loops is faster [3][84][85]. The effects have been calibrated,
based on experimental data generated from the ATOMICS research project [3].
For the Moment model for I-clusters, the parameters for growth (Ikfi and Ikfc) and
dissolution (Ikr) are interpolated between Si and Ge, parabolic, and in logarithmic scale.
Since the Full model for I-clusters is not defined for Ge, the parameter adjustment
prefactors C311DiffIntFactor and CLoopTransfer are used instead of parameter
interpolation between Si and Ge. The impact of Ge is taken into account only if
Ge_Chem_Effect=1:
term Si name= C311DissIntFactor store add \
eqn= "(1.0+2.0*xMoleFraction+0.5*xMoleFraction*xMoleFraction)"

term Si name= CLoopTransfer store add \


eqn= "(1.0+25.*xMoleFraction+18.*xMoleFraction*xMoleFraction)"

Note that the terms for C311DissIntFactor and CLoopTransfer as listed above do not
include the effect of stress, which is included in the Advanced Calibration file (see Impact of
Stress on Extended Defect Parameters on page 73).

SiGe Interdiffusion
The interdiffusion model [86][87] is switched on to describe interdiffusion of Si and Ge. Its
parameters were extracted and calibrated against data from [88][89][90][91][92]. While the
(dominant) interdiffusivity from vacancies can be extracted reliably, the parameter error
might be larger for the smaller component of interdiffusivity from the diffusion of interstitials.
Data for interdiffusion during oxidation [93] was used to test assumptions on the parameters
for SiGe interdiffusion using interstitials.

Advanced Calibration for Process Simulation User Guide 66


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 2: Constant Parameters

The biggest uncertainties in calibration exist for highly n-doped SiGe, where interdiffusion is
believed to have a dominant contribution from P–V or As–V pairs, which is not yet included
in the calibration, due to lack of experimental data suitable for calibration. To include
contributions from dopant–vacancy pair diffusion in SiGe interdiffusion, you can define the
term InterVDiffFactor, which is 1.0 by default, as a function of dopant concentration. This
factor is then multiplied to the interdiffusion caused by V diffusion in SiGe.
By default, for simplicity, no drift component is assumed in SiGe interdiffusion. As Ge atoms
are larger in size than Si atoms, you might expect that a gradient in pressure adds a drift
term to SiGe interdiffusion. To switch on this drift term, insert the following lines after calling
the procedure SiGe_and_Stress_Effect:
pdbSet Si Ge [Link] 1
pdbSet Ge Si [Link] 1
pdbSetDouble SiGe Vac [Link].X2 {[Arr 1.2e5 1.52]}

Here, the first two lines switch on the drift contribution to interdiffusion, which effectively
increases Si and Ge interdiffusion because the pressure gradient is, in many cases (and
precisely in all 1D cases), parallel to the gradient of Ge concentration. The third line sets the
interdiffusivity in SiGe using vacancies to a value smaller than the one calibrated for
[Link] 0. With this reduced value, the published interdiffusivity data used
for model calibration matches [Link] 1.

Germanium Effect on Dopant Diffusivity


Arsenic, Sb, and Ge diffusion in relaxed SiGe is much faster than in Si [94][95][96]. A
nonlinear dependency of the vacancy-mediated diffusivity activation energy on the Ge
content was first measured for Sb by Larsen and Kringhoj [94]. In Advanced Calibration, it is
assumed that the vacancy components of the As, P, and Sb diffusivities show a similar trend
with Ge mole fraction and temperature, as the diffusivity of free vacancies. Therefore, the
calibration of the corresponding parameters for parabolic mole-fraction interpolation started
from the values for vacancies and has been fine-tuned with the help of SIMS data provided
by AMAT-VSE [83].
There is a lack of published experimental work devoted to P diffusion in SiGe, especially for
high Ge mole fractions. The marker layer experiments performed by Zangenberg [96]
indicate an increase of diffusivity with small Ge contents up to ~25%, and saturation or even
a little decrease of Ge content of 40%. However, P diffusivity in pure Ge [23] is much higher
than the one in SiGe with Ge content  40%. The parameters for logarithmic mole-fraction
interpolation of P–V and P–I diffusivities have been calibrated against dedicated data
provided by AMAT-VSE [83] and with the help of numeric optimization.
According to most experimental work [97][98][99], interstitial-meditated B diffusion in relaxed
SiGe is retarded with increasing Ge content up to ~50%. For higher Ge contents, the boron
diffusion is again enhanced [100]. Therefore, a linear expression for the diffusivity activation
energy as derived by Ahn [101] for small Ge mole fractions is not adequate, and a parabolic

Advanced Calibration for Process Simulation User Guide 67


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 2: Constant Parameters

one is used for the full Ge mole fraction range instead. Finally, the retardation effect of F on
B diffusion decreases linearly with increasing Ge mole fraction.
Table 4 summarizes the SiGe interpolation for the diffusivity components for different
dopants.
Table 4 Germanium chemical effect on dopant diffusivity components

Diffusivity Logarithmic parabolic Comments on data used for calibration


component interpolation factor

Sb–V ln  [Arr 1.5e12 3.22]   x Ge   1 – x Ge  Marker layer experiments [94].


Dedicated experiments from AMAT-VSE.

As–I 0 Marker layer experiments [95].


USJ experiments [102][103][104].

As–V ln  [Arr 9e10 3.22]   x Ge   1 – x Ge  Marker layer experiments [95].


USJ experiments [102][103][104].
Dedicated experiments from AMAT-VSE.
–3
B–I ln  8.0  10   x Ge   1 – x Ge  Dedicated experiments from AMAT-VSE.
USJ experiments [3][100][105][106].
Marker layer experiments [97].

P–I ln  [Arr 8e13 2.13]   x Ge   1 – x Ge  Dedicated experiments from AMAT-VSE [83].


USJ experiments [102].

P–V ln  [Arr 3e13 3.46]   x Ge   1 – x Ge  Dedicated experiments from AMAT-VSE [83].


USJ experiments [102].

Germanium Effect on Dopant Activation


The Solubility of boron is slightly higher in SiGe than in pure Si. For the Solubility of
boron in SiGe, parabolic mole-fraction interpolation is used in logarithmic scale. For the
Solubility of As, P, and Sb in SiGe, parabolic mole-fraction interpolation is used in linear
scale.
The dopant clustering reaction rates CluRate are interpolated parabolically in logarithmic
scale.

Germanium Effect on Interface Segregation


The interface segregation has been calibrated based on SIMS. For B, As, and P, the
ThreePhaseSegregation parameters for trapping and emission rates as well as the
interface trap number CMax are interpolated between Si and Ge in logarithmic scale. In

Advanced Calibration for Process Simulation User Guide 68


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 2: Constant Parameters

addition, parabolic interpolation is used for CMax for As and P, as well as for the parameters
P2trapMax, k1, and k3, which govern the formation and dissolution of P2 pairs at the
interface. The interstitial fraction of dopant trapping in equilibrium is interpolated in linear
scale.

Germanium Effect on Initial Conditions After Implantation


For the initial activation parameters AmInit and AcInit, linear interpolation is used for
SiGe.
The ifactor for SiGe of both As and P is interpolated linearly between Si and Ge. The
interpolation is defined in the ifactor_arsenic and ifactor_phosphorus procedures.
Similarly, mcdfactor for B, As, and P is subject to linear mole-fraction interpolation.

SiGe Oxidation
During oxidation of SiGe, Ge enhances oxidation rates and is completely rejected from the
oxide, so that it piles up at the SiO2–SiGe interface [107]. This effect is exploited by the Ge
condensation technique to enrich the Ge mole fraction of SiGe layers on insulators by
oxidation [108]. The selective Si consumption by oxidation at the SiO2–SiGe interface is
compensated by the thermal diffusion of Si from the bulk SiGe layer. However, when the Ge
composition of the SiGe layer exceeds approximately 80%, the Si flux by thermal diffusion
from the bulk SiGe layer cannot compensate the Si consumption by oxidation, resulting in
the simultaneous oxidation of Si and Ge [109].
The parameters for dry SiGe oxidation were extracted and calibrated against literature data
for oxide growth rates [108]–[116] and Ge pileup profiles [109] [111][114]–[116]. The
oxidation rate depends on the Ge mole fraction on the SiGe side of the SiO2–SiGe interface,
which itself strongly depends on SiGe interdiffusion, which was first calibrated mainly based
on inert anneals (see SiGe Interdiffusion on page 66). For strong Ge pileup by oxidation
leading to high Ge mole fractions and compressive strain, SiGe interdiffusion is enhanced,
which again leads to a lower and wider Ge pileup. Unfortunately, this interdependency is
difficult to calibrate because the experimental Ge profiles available have, in general, limited
accuracy. In addition, some uncertainties in the calibration exist for the influence of oxidation
on the strain by SiGe lattice mismatch and on SiGe interdiffusion. Moreover, the self-limiting
oxidation effect due to melting of the Ge pileup layer at the oxide–SiGe interface [119], or
other causes [120], has not been included in the calibration.
For wet oxidation, the parameters for the Ge mole fraction dependence of the oxidation rate
were calibrated against literature data for oxide growth rates [121][122]. Published data are
scarce and the accuracy of the model is limited. At low temperatures (T<800°C), the
oxidation rates predicted with Advanced Calibration are lower than those reported in
[117][121][122], and might need to be adjusted. Following [121], the diffusivity of the oxidant
H2O (and O2) is increased in oxides with high Ge concentration. This is achieved by
definition of the H2ODiffFactor and O2DiffFactor terms, respectively.

Advanced Calibration for Process Simulation User Guide 69


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 2: Constant Parameters

Ge segregation at the oxide–SiGe interface is modeled with the ThreePhaseSegregation


model. At low and medium concentrations of Ge, except for very low temperatures, most Ge
atoms, which are incorporated into the oxide during oxidation, are quickly re-emitted to the
SiGe side of the interface, leading to a Ge pile-up. At very high Ge concentrations (> 3.8e22
cm–3), this re-emission is suppressed and Ge is incorporated into the oxide. This is achieved
by the following term:
term Ox /Si name= GermaniumEmissionRateFactor_Silicon store add eqn = \
"((Germanium_Silicon>3.8e22 ? 0.0 : (1.0-Germanium_Silicon/3.8e22)) * \
(Germanium_Silicon>1.0e18 ? 1.0 : (Germanium_Silicon/1.0e18)) * \
(1.0-[pdbGet Si [Link]]))" store

For inert anneals (boundary velocity ReactionSpeed equals 0), it is assumed that Ge atoms
in SiGe are not trapped at the interface or change side into the oxide. This is achieved by:
term Ox /Si name= GermaniumTrappingRateFactor_Silicon store add eqn = \
"(ReactionSpeed*1e14)/(ReactionSpeed*1e14 + 1.0)" store

OED is suppressed already for small Ge mole fractions [19]. This effect is achieved by
logarithmic scale interpolation of the interstitial injection parameter theta between a high
value for Oxide_Silicon and a low value for Oxide_Germanium (see Oxidation-Enhanced
Diffusion on page 38). The equilibrium concentration of vacancies at the oxide–silicon
interface is reduced during oxidation. The reduction is largest for the oxidation of pure Si
(where the injection rate of interstitials also is the highest). For oxidation of SiGe, the
reduction of the vacancy equilibrium concentration at the interface is governed by the
following term:
term Ox /Si name= VacInterfaceCStarFactorOED store add eqn = \
{(1.0/(1.0+[Arr 0.1 -1.75]*(ReactionSpeed^0.6)* \
exp(xMoleFraction_Silicon*log([Arr 8.0 0.67])) ))}

Ge Diffusion Along the Oxide–SiGe Interface


Brewer et al. [117] and Thornton et al. [118] reported that Ge diffuses along the oxide–silicon
interface. This is modeled by modifying the equation for Ge trapped in the interface layer.
The following two lines describe the diffusion of Ge inside the two-dimensional interface
layer:
pdbUnSetString Ox_Si Ge UserEquation
UserSubEqnTerm Ox_Si Ge "\[Arr 2.406e9 4.535\] * \
(ReactionSpeed*1e14)/(ReactionSpeed*1e14 + 1.0) * grad(Germanium) * \
(\[Arr 1 0.48\]*Ori100_Silicon + Ori110_Silicon + \
\[Arr 1 0.25\]*Ori111_Silicon)"

The diffusivity has been calibrated to reproduce Ge profiles reported by [117][118], for dry
oxidation in the temperature range 750°C–1000°C. Ge diffuses faster along 110-oriented
surfaces (vertical on 001-oriented wafers) than along 100-oriented surfaces. The value for
111-oriented surfaces has been guessed, due to lack of experimental data suitable for a
calibration. The expression reflects the observation [118] that Ge diffusion along oxide–SiGe
interfaces occurs only at oxidizing interfaces (ReactionSpeed > 0).

Advanced Calibration for Process Simulation User Guide 70


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 2: Constant Parameters

Stress Effects
To keep the implementation short, the abbreviations Vt_i, kT_i, PR, and PR_Si are defined.
The pressure abbreviations PR and PR_Si are limited by a maximum-allowed value of
4 GPa, which is defined in section 2.14 by the following parameter:
pdbSetDouble SiGe Mechanics MaxPressure 4.0e10

In structures with very high pressure in some corners, including pressure effects in the
process models might adversely affect convergence. If convergence is degraded, then
consider reducing the value of MaxPressure.
The definition of PR is:
set maxP [pdbGet SiGe Mechanics MaxPressure]
if { $Stress_Eff } { set PR \
((Pressure>$maxP)?$maxP:((Pressure<(-$maxP))?(-$maxP):Pressure))
} else { set PR 0.0 }

See section 2.14.2 of AdvCal_2024.[Link].

Impact of Stress on Electrostatic Potential


If Stress_Eff is equal to 1, bandgap narrowing due to stress is calculated according to the
deformation potential theory as published by [123]. If Ge_Chem_Eff is switched on as well,
the deformation potential constants are interpolated linearly between values for pure silicon
and values for pure germanium. If Ge_Chem_Eff is switched off, the silicon parameters are
used. The deformation potential constants for Si and Ge proposed by Van de Walle [124]
were chosen for Advanced Calibration.
The model is switched on using the command:
pdbSet Si Potential niMod StrainDependent

Impact of Stress on Point-Defect Parameters


The diffusivities and equilibrium concentrations of interstitials and vacancies are modified in
the presence of hydrostatic pressure using the terms IntDiffFactor, VacDiffFactor,
IntCStarFactor, and VacCStarFactor, which are defined for both silicon and germanium,
and are interpolated for SiGe:
pdbSetDouble Si Int idf -0.142e-23
pdbSetDouble Si Int icf -1.211e-23
pdbSetDouble Si Vac vdf 1.195e-23
pdbSetDouble Si Vac vcf 1.324e-23

pdbSetDouble Ge Int idf -0.142e-23


pdbSetDouble Ge Int icf -2.800e-23
pdbSetDouble Ge Vac vdf 8.000e-24
pdbSetDouble Ge Vac vcf 1.324e-23

Advanced Calibration for Process Simulation User Guide 71


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 2: Constant Parameters

pdbSetDouble SiGe Vac vdf.X2 1.9e-23


pdbSetDouble SiGe Vac vcf.X2 2.0e-23

term Si name=IntDiffFactor store add \


eqn= "exp($PR*[_MoleFraction::Param Si Int idf]*$kT_i)"
term Si name=VacDiffFactor store add \
eqn= "exp($PR*[_MoleFraction::Param Si Vac vdf]*$kT_i)"
term Si name=IntCStarFactor store add \
eqn= "exp($PR*[_MoleFraction::Param Si Int icf]*$kT_i)"
term Si name=VacCStarFactor store add \
eqn= "exp($PR*[_MoleFraction::Param Si Vac vcf]*$kT_i)"
term Ge name=IntDiffFactor store add \
eqn= "exp($PR*[_MoleFraction::Param Ge Int idf]*$kT_i)"
term Ge name=VacDiffFactor store add \
eqn= "exp($PR*[_MoleFraction::Param Ge Vac vdf]*$kT_i)"
term Ge name=IntCStarFactor store add \
eqn= "exp($PR*[_MoleFraction::Param Ge Int icf]*$kT_i)"
term Ge name=VacCStarFactor store add \
eqn= "exp($PR*[_MoleFraction::Param Ge Vac vcf]*$kT_i)"

In SiGe, the actual definition of the mole fraction–dependent terms is performed inside the
procedure _AlloyCompound, which is defined in section 2.14.5 of AdvCal_2024.[Link].
(Sentaurus Process calls the _AlloyCompound procedure during diffusion preprocessing if
SiGe is to be modeled as an alloy. Terms including mole fraction–interpolated parameters
are best defined in this procedure.)
Compressive hydrostatic pressure decreases the equilibrium concentration of interstitials
and increases the equilibrium concentration of vacancies. If Stress_Eff=1, then the
diffusivities of point defects are modified as a function of pressure. The activation volumes
for the above prefactors were derived from the induced strain values calculated by Diebel
[125].
For the vacancy diffusivity, a parabolic decrease of the activation volume is assumed
between pure Si and pure Ge. The value for pure Ge has been adjusted to match
experimental data reported by Kawamura et al. [126].
The modified equilibrium concentrations are used automatically by Sentaurus Process in the
definition of surface boundary conditions.
The point-defect interface and bulk recombinations are diffusion-limited processes, but the
modified diffusivities are not taken into account automatically in surface boundary conditions
and bulk recombination rates. Therefore, together with changing the diffusivity of interstitials
and vacancies, the surface and bulk recombination rates are adjusted accordingly.
In the drift-diffusion equation for point defects, the pressure gradient is implicitly taken into
account as an additional force, which pushes interstitials towards regions of tensile stress
and vacancies towards regions of compressive stress.

Advanced Calibration for Process Simulation User Guide 72


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 2: Constant Parameters

Impact of Stress on Extended Defect Parameters


The {311} interstitial clusters are less stable in the presence of compressive hydrostatic
pressure, and the transformation of {311} defects into dislocation loops is faster [3][84][85].
The corresponding parameter adjustment prefactors IClusterDissIntFactor (used in the
1Moment model for I-clusters), as well as C311DiffIntFactor and CLoopTransfer (used in
the Full model for I-clusters), have been calibrated, based on experimental data generated
within the ATOMICS research project [3].
The impact of stress is taken into account only if Stress_Eff=1:
term Si name=IClusterDissIntFactor store add \
eqn= "exp($PR*2.6e-23*$kT_i)"

term Si name=C311DissIntFactor store add \


eqn= "(1.0+2.0*xMoleFraction+0.5*xMoleFraction*xMoleFraction)* \
exp($PR*1.6e-23*$kT_i)"

term Si name=CLoopTransfer store add \


eqn= "(1.0+25.*xMoleFraction+18.*xMoleFraction*xMoleFraction)* \
exp($PR*3.3e-23*$kT_i)"

Note that the terms for C311DissIntFactor and CLoopTransfer as listed above also
include the effect of Ge as included in the Advanced Calibration file (see Impact of
Germanium on Extended Defect Parameters on page 66).

Impact of Pressure on Dopant Diffusivity


The diffusivity of dopants changes as a function of hydrostatic pressure. With increasing
compressive pressure, diffusion using dopant–interstitial pairs is reduced, and diffusion
using dopant–vacancy pairs is increased.
The following formula for the macroscopic diffusivity DAX using AX dopant–defect pairs is
used:
D AX  P  = D AX  P = 0  exp  – P V AX  kT  (7)
where P is the hydrostatic pressure (positive sign means compressive pressure), and VAX is
the activation volume. Table 5 lists the activation volume values for different dopants.

Advanced Calibration for Process Simulation User Guide 73


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 2: Constant Parameters

Table 5 Activation volumes for dopant diffusivity components in silicon

Diffusivity component prefactor Activation Comments on the data used


volume [cm3]

AntimonyVacDiffFactor -2.334e-23 Ab initio calculations of the strain


impact by Ahn [101]

ArsenicIntDiffFactorPressure 1.746e-23 Ab initio calculations of the strain


impact by Ahn [101]

ArsenicVacDiffFactorPressure -1.800e-23 Ab initio calculations of the strain


impact by Ahn [101], slightly reduced
during calibration against experimental
data

BoronIntDiffFactor 2.394e-23 Average value from ab initio


calculations of the strain impact for
different diffusion directions by Diebel
[125]

GermaniumDiffFactor -3.000e-23 Marker layer experiments by


Zangenberg [96]

IndiumIntDiffFactor 0.936e-23 Ab initio calculations of the strain


impact by Ahn [101]

PhosphorusIntDiffFactorPressure 2.200e-23 Average value from ab initio


calculations of the strain impact for
different diffusion directions by Ahn
[101], slightly reduced during
calibration against experimental data

PhosphorusVacDiffFactorPressure VacDiffFactor*
VacCStarFactor

Figure 3 illustrates the pressure dependency of diffusivities by different dopant–defect pairs.


The stress effect on dopant diffusivity is superimposed on the chemical effect of Ge in a
multiplicative way.
The stress effect is simulated by the activation energy correction using diffusivity prefactors.
For example, in the case of boron, it is performed by the term BoronIntDiffFactor, which
is defined in silicon:
term Si name=BoronIntDiffFactor store add \
eqn= "exp(-2.394e-23*$PR*$kT_i)"

During assembly of the diffusion equations, Sentaurus Process checks each dopant and
material to assess whether such diffusion factors exist. The diffusivity through dopant–

Advanced Calibration for Process Simulation User Guide 74


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 2: Constant Parameters

interstitial or dopant–vacancy pairs then is multiplied by the corresponding diffusion


enhancement factors.

Figure 3 Enhancement of macroscopic diffusivity using selected dopant–defect pairs as a


function of hydrostatic pressure, at T = 900°C in pure silicon
10
Diffusivity Enhancement due to Stress

BI
PI
AsI
1 InI
AsV
SbV
PV

0.1
-1.5 -1 -0.5 0 0.5 1 1.5
Pressure [GPa]

Impact of Pressure on Dopant Activation


If Stress_Eff is switched on, then the solid solubility of dopants depends on the strain. In
general, for compressive strain, the solubility of atoms smaller than Si increases; whereas,
the solubility of larger atoms decreases. In the Transient model, the stress effect is taken
into account by introducing pressure-dependent correction factors to Solubility and
TotalSolubility:

S  P  = S  P = 0  exp  – P V/kT  (8)


where S is the dopant solid solubility, and V is the activation volume. Table 6 presents the
activation volume values for different dopants. The same volumes were chosen for
Solubility and TotalSolubility.
Table 6 Activation volumes for dopant solid solubility

Dopant Activation Comments on the data used


volume [cm3]

Antimony 5.796e-24 Theoretical value from ab initio calculations of the induced strains
by Ahn et al. [127] multiplied by a factor of 0.6 according to
experimental data [3]

Arsenic 1.062e-24 Ab initio calculations of the induced strains by Ahn et al. [127]

Advanced Calibration for Process Simulation User Guide 75


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 2: Constant Parameters

Table 6 Activation volumes for dopant solid solubility (Continued)

Dopant Activation Comments on the data used


volume [cm3]

Boron -3.636e-24 Theoretical value from ab initio calculations of the induced strains
by Ahn et al. [127] multiplied by a factor of 0.2 according to
experimental data [3]

Phosphorus -4.596e-24 Ab initio calculations of the induced strains by Ahn et al. [127]

The formulas for As and P are taken from ab initio calculations [127]. The impact of pressure
on B solubility is chosen to be only 20% of the theoretical prediction in [127], as this better
fits the experimental work performed in the ATOMICS research project [3] and experimental
data from B ultrashallow junction formation with different variants of Ge preamorphization.
The impact of pressure on Sb solubility is chosen to be 60% of the theoretical calculation in
[127]. The relative change of solid solubility for different dopants as a function of pressure is
shown in Figure 4.

Figure 4 Relative change of solid solubility of As, B, P, and Sb as a function of pressure, at


T = 900°C
As
B
P
Sb
Solid Solubility Change due to Stress

100

-1 0 1
Pressure [GPa]

An example of the definition of B pressure-dependent solid solubility is:


pdbSetString Si B [Link] "exp(3.636e-24*$PR*$kT_i)"
pdbSetString Si B [Link] "exp(3.636e-24*$PR*$kT_i)"

Advanced Calibration for Process Simulation User Guide 76


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 2: Constant Parameters

Since the emission rate for the silicon side in the three-phase segregation models is
proportional to the solid solubility, corresponding modification should also be included in the
boundary condition. For example, it was performed by the following line for B:
pdbSetString Si B [Link] "exp(3.636e-24*$PR_Si*$kT_i)"

Note:
Arbitrary Alagator expressions for the dopant solid solubility prefactors can be
defined by users in Sentaurus Process. The names of terms used for the solid
solubility, the total solid solubility, and the emission rate correction are
[Link], [Link], and [Link], respectively.

In the ChargedCluster model, the solid solubility is not defined explicitly but results from
the formation energies of the various clusters. Therefore, the formation energies of the
clusters are modified as a function of pressure. This has been performed for boron and
arsenic in such a way that the overall effect of pressure on dopant activation is similar as in
the Transient model. The calibration is arbitrary, because not enough experimental data is
available to calibrate the effect of pressure on each BIC or AsV cluster individually.

Segregation at Si–SiGe Interface (Segreg_Model)


Boron and P have been found to segregate at Si–SiGe interfaces, in experiments using
epitaxial layers of strained SiGe embedded in Si, or strained Si embedded in relaxed SiGe.
Boron segregates into SiGe; whereas, P is pushed out of the SiGe region. A theoretical
analysis [74] indicates that the dominant driving force for this segregation is the pressure
gradient in the case of B, and the difference in band structure between Si and SiGe in the
case of P.
If Segreg_Model is switched on, an additional driving force for the drift-diffusion of B, As,
and P is taken into account by using the PDependent model for both Si and Ge (not shown
here):
if { $Segreg_Model } {
pdbSetSwitch Si B StressModel PDependent
term Si name=BoronIntSSFactor store add \
eqn= "exp($Vt_i*(0.5*(Pressure/5.11e10)))"
term Si name=BoronIntSPFactor store add \
eqn= "exp($Vt_i*(0.5*(Pressure/5.11e10)))"

pdbSetSwitch Si AsStressModel PDependent


term Si name=ArsenicIntSSFactor store add \
eqn= "exp($Vt_i*(-0.2*xMoleFraction))"
term Si name=ArsenicIntSPFactor store add \
eqn= "exp($Vt_i*(-0.2*xMoleFraction))"
term Si name=ArsenicVacSSFactor store add \
eqn= "exp($Vt_i*(-0.2*xMoleFraction))"
term Si name=ArsenicVacSPFactor store add \
eqn= "exp($Vt_i*(-0.2*xMoleFraction))"

Advanced Calibration for Process Simulation User Guide 77


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 2: Constant Parameters

pdbSetSwitch Si P StressModel PDependent


term Si name=PhosphorusIntSSFactor store add \
eqn= "exp($Vt_i*(-0.6*xMoleFraction))"
term Si name=PhosphorusIntSPFactor store add \
eqn= "exp($Vt_i*(-0.6*xMoleFraction))"
term Si name=PhosphorusVacSSFactor store add \
eqn= "exp($Vt_i*(-0.6*xMoleFraction))"
term Si name=PhosphorusVacSPFactor store add \
eqn= "exp($Vt_i*(-0.6*xMoleFraction))"
}

In this calibration, the potentials behind the additional driving forces can be expressed as:

E mech,B = – 0.5  Pressure  5.11e10 (9)


E chem,As = 0.2  x Ge (10)
E chem,P = 0.6  x Ge (11)
where:
• Emech,B is the mechanical potential of substitutional boron.
• Echem,As and Echem,P are the chemical potential of substitutional As and P, respectively,
in eV.
• Pressure is the hydrostatic pressure in dyn/cm2.

• xGe is the fractional Ge concentration.


These formulas have been calibrated to experimental data [128][129], as illustrated in
Figure 5.

Figure 5 Boron diffusion (56 hours at 850°C) in SiGe marker layers; SIMS data is extracted
from [128]; simulations are performed with "SiGe_and_Stress_Effect 1 1 1 0"

Ge, after anneal, simulated


B, after anneal, SIMS 4e+21
1019
Germanium Concentration [cm–3]

B, after anneal, simulated


Boron Concentration [cm−3]

B, initial
3e+21

1018 2e+21

1e+21

1017 0
0 0.2 0.4 0.6 0.8
Depth [µm]

Advanced Calibration for Process Simulation User Guide 78


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 3: Ion Implantation and Initial Conditions

It should be noted that all measured data, which can be directly used for calibration, is
extracted from plane wafer experiments with SiGe marker layers. In these wafers, the biaxial
stress is approximately proportional to the Ge concentration. At present, a clear separation,
whether the segregation is caused by a mechanical or an electrochemical potential, is not
possible from available experimental data.

Effect of Strained Overlayers (Strained_Overlayers)


The model developed by Cowern [75] predicts an influence of overlayer strain on the
point-defect concentration in the silicon. That effect was confirmed by experiments showing
a strong dopant diffusion change under high-level stressed nitride layers [76][77].
The change of equilibrium concentration due to overlayer strain can be expressed by the
factor exp(Pov Vf /kT), in which Pov is the hydrostatic pressure in the overlayer, and Vf is the
volume of an atom in Si. This effect is switched on by setting the argument
Strained_Overlayers in the procedure SiGe_and_Stress_Effect to 1.

Unfortunately, it is not clear yet from experiments how intermediate layers such as an oxide
layer between strained nitride and silicon influence the point-defect boundary conditions.
With the current model implementation, a thin, unstrained, oxide layer would shield very
effectively the impact of a strained SiN overlayer, in contrast to what has been observed in
experiment [3]. Therefore, you need to be very careful in using this model in 2D or 3D
process simulations, which usually include native oxide layer deposition steps.
In addition, as thermal expansion is another source of stress, the temperature at which
native oxide layers are deposited in the process simulation can have a significant impact on
point-defect concentrations at oxide–silicon boundaries, and inaccuracies in thermal
expansion coefficients at elevated temperatures will translate into inaccurate calculations of
point-defect concentrations.
Note:
It is recommended to define the same internal stress values in the native oxide
as in the nitride to obtain the strained overlayer effect on the point-defect
concentration in silicon.

Part 3: Ion Implantation and Initial Conditions


In this part of the Advanced Calibration file, the initial conditions for diffusion data fields after
ion implantation are set immediately after implantation or immediately before the diffusion.
In particular, the concentration of point defects or point-defect clusters present after ion
implantation is specified dependent on the implantation species, energy, and dose.
Furthermore, a framework is provided for a user-defined specification of initial electrical
activation of as-implanted dopants.

Advanced Calibration for Process Simulation User Guide 79


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 3: Ion Implantation and Initial Conditions

In general, the initial conditions are important for annealing steps with small thermal budget.
This is typically the case in deep-submicron technology.
Advanced Calibration for Sentaurus Process continuum can be used with analytic
implantation or with Monte Carlo implantation (Sentaurus MC). In typical applications, it
should not be used in combination with the options cascades and [Link] of
Sentaurus MC. These options calculate very high concentrations of self-interstitials and
vacancies after ion implantation, which would require a dedicated calibration of clustering
and recombination processes of point defects at very high concentrations. Such a
calibration is not included in Advanced Calibration for Sentaurus Process continuum
process simulation, which focuses on analytic implantation or the standard Monte Carlo
implantation model (that is, without the options cascades and [Link]).
The contents of part 3 of the Advanced Calibration file are described in the next sections.

User-Defined Defect Initialization


See sections 3.1, 3.4, and 3.5 of AdvCal_2024.[Link].
The following command is selected:
pdbSet ImplantData [Link] [Link]

Using this command, Sentaurus Process expects the updating of data fields after ion
implantation to be performed by the Alagator procedure UserPointDefectModel, which is
defined in section 3.5.
The UserPointDefectModel procedure is called once after each ion implantation. (For
Monte Carlo implantation of the molecular species BF2, the procedure
UserPointDefectModel is actually called once for each element (boron and fluorine). This
special case is taken into account in the implementation of UserPointDefectModel.)
The procedure is called once after each ion implantation to perform the following tasks:
• It calls the impPostProcess_AdvCal procedure, implemented in section 3.3 of
AdvCal_2024.[Link]. This procedure updates the data fields and parameters for
initialization of defect and dopant data fields for all materials after ion implantation and
before diffusion, depending on implantation conditions. The same physical parameters
are applied to analytic and Monte Carlo implantations.
• For Monte Carlo implantation, it calls additional procedures. First, it calls the procedure
ctrimDamageModels_AdvCal in the case of Crystal-TRIM implantation, which is
implemented in section 3.4 of AdvCal_2024.[Link]. This is needed for storing the
Monte Carlo amorphization data field. Second, it calls the procedure CoImpPostProcess
if the Coimplant model is switched on. (For analytic implantations, CoImpPostProcess is
already in the regular implantation postprocessing procedures of Sentaurus Process and
does not need to be called again in UserPointDefectModel.)

Advanced Calibration for Process Simulation User Guide 80


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 3: Ion Implantation and Initial Conditions

• For Monte Carlo implantation of molecules, UserPointDefectModel is called once for


each atom species. In the special case of BF2 implantation, care has been taken that
impPostProcess_AdvCal is called only once (with the argument Name=Boron, but not
with the argument Name=Fluorine). For all other molecular species with more than one
atom species, such as B18H22 or C2B10H12, the procedure impPostProcess_AdvCal is
called once for each atom species. This might cause (a) the damage field to be added
several times and (b) excess interstitials to be added for each implantation ion species:
(a) can be compensated by setting a small value of the corresponding mcdfactor
procedure for molecular species, and (b) might be wrong for molecules that include H
atoms, because H is likely to remain in interstitial positions (like F). This is performed
automatically in the case of C2B10H12 in the _AdvCal::ImpPreProcess and
_AdvCal::MCPostProcess procedures, implemented in section 3.6 of
AdvCal_2024.[Link], as explained in Implantation Preprocessing and Postprocessing
on page 90. For implantations of molecules other than BF2 and C2B10H12, such as
B18H22, it is recommended to subtract excess interstitials generated by H as shown in
Molecular Implantation on page 174.
All data-field processing for dopants and point defects is performed in the Alagator
procedure named impPostProcess_AdvCal.

impPostProcess_AdvCal
See section 3.3 of AdvCal_2024.[Link].

Scaling Factors for Point Defects and Damage


See section 3.3.1 of AdvCal_2024.[Link].

Point Defects
The amount of point defects generated by ion implantation is calculated with the Advanced
Calibration ‘+x’ model. The point defects are located at the same position as the
as-implanted ions. The interstitial concentration originating from ion implantation is the
as-implanted dopant profile multiplied by ifactor. The vacancy concentration is the
as-implanted dopant profile multiplied by vfactor.
Unless specified directly in the implant command, ifactor and vfactor are calculated in
the following way. If a procedure ifactor_${mat}_${Species}
(ifactor_Germanium_Arsenic, for example) is defined for the current implantation species
into a specific material, ifactor for this specific material is calculated in this procedure as
a function of the implantation energy and dose. Otherwise, if a procedure
ifactor_$Species (for example, ifactor_Arsenic) is defined for the current implantation
species (for any material), ifactor is calculated in this procedure as a function of the
implantation energy and dose. Otherwise, ifactor has the value 1. Similarly, if a procedure

Advanced Calibration for Process Simulation User Guide 81


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 3: Ion Implantation and Initial Conditions

vfactor_$Species is defined for the current implantation species (for a specific material or
any material), vfactor (for this specific material or any material) is calculated in this
procedure as a function of energy and dose. Otherwise, vfactor has the value 0.
In section 3.2 of AdvCal_2024.[Link], procedures are defined for the calculation of
ifactor after implantation of As, B, BF2, C, C2B10H12, Ge, In, P, or Si ions. vfactor equals
0 for all implantation species. In Advanced Calibration, ifactor is calibrated for pure Si but
is not defined to be silicon specific. Therefore, in the case of other materials, the same
ifactor as for Si is used, unless a material-specific ifactor is defined, for example, for
ifactor_Germanium_Germanium and ifactor_Germanium_Silicon.

The concept behind this type of implementation is that it is very convenient for users to
fine-tune ifactor or vfactor for any species as a function of implantation energy and
dose, according to their needs.
For this purpose, it is sufficient to (re-)define a very short procedure ifactor_$Species or
vfactor_$Species. This can be performed ideally after sourcing the Advanced Calibration
file.
The return value of ifactor_$Species and ifactor_${mat}_${Species} is usually a
number, but it is also possible to define an expression as the return value.
For example, after sourcing AdvCal_2024.[Link], you can define the following procedure
to create one excess interstitial per B atom in pure Si, and a slightly reduced number of
interstitials in regions that have a high concentration of Ge before the B implantation:
fproc ifactor_Boron {Energy Dose} {
return "(1e22/(Germanium+1e22))"
}

You can specify ifactor directly in the implant command. In that case, the directly
specified values are used instead of the return values of ifactor_$Species or
ifactor_${mat}_${Species}.

With Advanced Calibration loaded, you cannot define ifactor or vfactor with pdbSet
commands such as:
pdbSet <material> <dopant> IFactor <value>

The value of the pdb parameter IFactor is ignored, and ifactor is calculated as described
in the text.
Similarly, the parameter database entries for VFactor, MCIFactor, MCVFactor, and
DFactor are ignored when Advanced Calibration is used.

Damage
The crystal damage is proportional to the concentration of displaced atoms in silicon,
including Frenkel pairs. It is scaled by dfactor. The damage field is used by Sentaurus

Advanced Calibration for Process Simulation User Guide 82


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 3: Ion Implantation and Initial Conditions

Process to determine whether a region is amorphous or crystalline at the beginning of the


annealing.
By default, the damage model (AmModel) is Damage. In this case, the damage field is
calculated during ion implantation, using the damage accumulation model of Sentaurus MC
or Crystal-TRIM for Monte Carlo ion implantation or analytic damage profiles suggested by
Hobler and Selberherr [130] for analytic ion implantation. The damage field is scaled by
dfactor. For analytic implantation, dfactor can depend on implantation energy and dose
if a procedure dfactor_$Species or dfactor_${mat}_${Species} is defined for the
implantation species.
Similarly, for Monte Carlo implantation, dfactor can depend on implantation energy and
dose if a procedure mcdfactor_$Species or mcdfactor_${mat}_${Species} is defined.
These procedures are defined in section 3.2.2 of AdvCal_2024.[Link]. For most species,
the procedure mcdfactor_$Species returns a constant value. The calibration of damage
scaling for Monte Carlo implantation has been performed for Sentaurus MC.
To change the damage scaling for Monte Carlo implantation, you have the following options:
• Redefine the mcdfactor_$Species or mcdfactor_${mat}_${Species} procedure.
This is the best method.
• Define the parameter MCDFactor for a material and species. By default, MCDFactor is
undefined for all materials and all species. If you define it, MCDFactor will be used
instead of the return value of the mcdfactor procedures defined in the Advanced
Calibration file.
• Specify the [Link] argument directly in the implant command. In that case, for this
particular implantation, the specified value will be used.
You can use a ‘+x’ damage model by defining a procedure AmModel_$Species or
AmModel_${mat}_${Species}, which gives the return value ‘+1’. In this case, the crystal
damage is the product of the as-implanted dopant profile and dfactor. The ‘+x’ damage
model can be helpful to describe situations where buried amorphous layers are formed after
medium-dose implantation of heavy ions (for example, indium implantation with 100 keV,
6×1013 cm–2). With the ‘+x’ damage model, it is necessary to define very high values for
dfactor to adjust the measured and simulated amorphization.

Values for Initial Dopant Activation


See section 3.3.2 of AdvCal_2024.[Link].
AcInit and AmInit determine the initial activation of dopants after implantation. For regions
with an as-implanted dopant concentration higher than AcInit (AmInit), the surplus
dopants are assumed to be in clusters at the beginning of a diffusion process. Both AcInit
and AmInit are applied in subroutines of the callback procedure diffPreProcess, which is
called before each diffusion simulation. AcInit is used for regions that are not amorphized

Advanced Calibration for Process Simulation User Guide 83


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 3: Ion Implantation and Initial Conditions

by ion implantation, and AmInit is used for regions that are amorphized by ion implantation
and recrystallize at the beginning of the diffusion process.
For most dopants, AcInit and AmInit are defined in part 2 of the calibration file as constant
parameters. Only AcInit for B and BF2 is defined in a similar way to ifactor.
You can define AcInit and AmInit in a similar way to ifactor. If a procedure
acinit_${mat}_${Species} (for example, acinit_Germanium_Arsenic) is defined for a
specific material, AcInit for this specific material is calculated in this procedure as a
function of the implantation energy and dose. Otherwise, if a procedure acinit_$Species
(for example, acinit_Arsenic) is defined (for any material), AcInit is calculated in this
procedure as a function of the implantation energy and dose. The same applies to AmInit.
By using expressions as the return value of the function acinit_$Species,
aminit_$Species, it is possible to define AcInit, AmInit as terms.

Sum of As-Implanted Point Defects and Crystal Damage


See sections 3.3.3 of AdvCal_2024.[Link].
The data fields Int_LastImp and Vac_LastImp, which are generated during implantation,
are added to the fields Int_Implant and Vac_Implant, respectively, which are used in the
callback procedure diffPreProcess for generating the initial conditions for diffusion. This
activates a correct treatment of several subsequent implantations of the same dopant.
Similarly, the crystal damage from individual implantation steps is added to the field Damage,
which is used during diffusion preprocessing to determine amorphous regions. In Advanced
Calibration, AmModel is Damage for all species by default.
Therefore, the damage field Damage_LastImp is added to Damage. Damage_LastImp has
been calculated during ion implantation using either the damage accumulation model of the
Monte Carlo ion implantation or analytic damage formulas suggested by Hobler and
Selberherr [130] for analytic ion implantation.

Subroutines for Setting ifactor and dfactor


See section 3.2 of AdvCal_2024.[Link].
In the Advanced Calibration, ifactor, vfactor, dfactor, AcInit, and AmInit can depend
on the material, species, energy, and dose of the ion implantation. All values and formulas
in section 3.2 have been calibrated by using mainly SIMS data. The guidelines used for the
calibration are explained here.

Advanced Calibration for Process Simulation User Guide 84


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 3: Ion Implantation and Initial Conditions

ifactor
Giles [131] observed that using an ifactor of 1.0 gives good results for many situations. An
ifactor of 1.0 means that, after the fast recombination of excess interstitials and vacancies
generated by ion implantation, one interstitial atom survives per implanted ion. In
AdvCal_2024.[Link], an ifactor of 1.0 is used for the implantation of most species.

For high dose (> 1×1014 cm–2) arsenic implantation (into silicon), a higher value of ifactor
is used. In this case, ifactor is set in the procedure ifactor_Arsenic as a steady function
of implantation energy and dose, and can have a value up to 9.0 for high-energy and
high-dose implantation.
The higher value of ifactor will reflect a consequence of amorphization of the silicon
surface layer by high-dose arsenic implantation. During solid phase epitaxial regrowth
(SPER) of the amorphized surface region, all point defects in that region are eliminated.
Since the interstitials generated by collisions during ion implantation are (on average)
located slightly deeper than the vacancies, a larger fraction of interstitials will be located in
the deeper region inside silicon, which is not amorphized, and will survive the damage
annealing by SPER. After recombination of all of the vacancies and interstitials that survived
SPER, there remains an average number of interstitials per implanted ion higher than 1.0 in
the nonamorphized region. This consideration can be investigated quantitatively by using
the full cascade mode of Monte Carlo ion implantation simulation, as illustrated in Figure 6.
ifactor is set to the value 0.5 for BF2 implantation into silicon. This is meant to anticipate
the capability of F to eliminate interstitials, which is not taken into account in the diffusion
models used by Advanced Calibration by default.
If the Boolean parameter AdvCal_IFactorsVFactorsZero is set to 1, then the creation of
excess interstitials and vacancies is suppressed. This parameter allows you to suppress
transient-enhanced diffusion (TED) after ion implantation. It can be used to speed up
process simulation for process parts, where TED can be neglected.

Advanced Calibration for Process Simulation User Guide 85


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 3: Ion Implantation and Initial Conditions

Figure 6 Derivation of ifactor for As implantation (dose 1×1015 cm–2, energy 8 keV) by
full-cascade MC simulation. The upper black solid line and the line with triangles
show the interstitial and vacancy concentration, respectively, as calculated by
full-cascade MC simulation. Near the surface (depth < 0.008 µm), the concentration
of vacancies is higher; in other regions, the concentration of interstitials is higher
than the concentration of vacancies. After SPER, only point defects in the
nonamorphized region (depth > 0.022 µm) survive. The net interstitial concentration
(Int – Vac; thick black line), calculated from the full-cascade MC results, is similar to
the As concentration multiplied by ifactor close to 10. The dashed line shows the As
concentration in the crystalline region, multiplied by 6.8, which is close to the ifactor
for this process condition calculated by AdvCal_2020.[Link].

Damage (Amorphization Threshold: 1.15e22)


Interstitials, as-implanted
1022 Vacancies, as-implanted
+x interstitials, ifactor=6.8
Net interstitials in nonamorphized region
21 Arsenic, as-implanted
10
Concentration [cm–3]

1020

1019

1018

0 0.02 0.04 0.06


Depth [µm]

vfactor
vfactor is set to 0.0 for all implanted ions.

dfactor
The damage scaling factors for analytic and Sentaurus MC implantation into silicon and
germanium have been calibrated based on a wide range of experimental data, mainly TEM
and RBS data from the literature. They differ from 1 to compensate for the default
underestimation or overestimation of amorphization caused by implantation of these
species.

Advanced Calibration for Process Simulation User Guide 86


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 3: Ion Implantation and Initial Conditions

For analytic implantation into silicon, the damage factor is decreased for B, but it is
increased for Si. For As, BF2, Ge, In, and P implantation, dfactor depends on the
implantation energy and dose.
For MC implantation with Sentaurus MC, the damage is scaled by the parameter
MCDFactor, which can be set individually for each implantation species. The values of
MCDFactor have been calibrated for silicon and germanium with experimental data.

Finally, to improve the accuracy for the projected ranges of Ge implantations into silicon by
Sentaurus MC, the empirical correction factor parameter for energy loss per collision
([Link]) has been set to a value less than 1. In addition, the parameters Dacc and Dcrit,
which govern the damage accumulation and amorphization during Monte Carlo ion
implantation with Crystal-TRIM, have been changed for silicon to improve the reproduction
of the depth of amorphous layers after Si implantation into silicon.

Thermal Implantations
See sections 3.2, 3.6, and 3.7 of AdvCal_2024.[Link].
Thermal implantations involve substrates kept at temperatures that differ from room
temperature. Cold or cryogenic implantations are performed below room temperature.
Under these conditions, there is less dynamic annealing and the implantation amorphizes
more rapidly, leading to deeper amorphous layers and less implantation channeling
[132][133][134]. Hot or heated implantations are performed above room temperature. Under
these conditions, there is more dynamic annealing and the implantation amorphizes less
rapidly, leading to shallower amorphous layers and more implantation channeling
[135][136].
Dynamic annealing is lattice self-repair during the implantation. Implantation creates
substantial damage, basically I and V, which can cluster into amorphous pockets. Like single
I and V, the I and V in amorphous pockets can recombine and, therefore, reduce the
damage. This recombination is hindered by an energy barrier, but it still occurs at relatively
low temperatures during implantation.
Different implantation dose rates and temperatures lead to different balances of damage
generation and lattice self-repair during implantation and, therefore, different amorphization
and channeling. In addition, increased channeling due to the lower vibrational amplitude of
the Si lattice at lower implantation temperatures is a much weaker effect than the reduction
of channeling due to stronger amorphization.
These effects can all be simulated by default with Sentaurus Process Kinetic Monte Carlo
[137] (see Amorphization on page 283). However, neither the implantation temperature nor
the dose rate is taken into account by default in Advanced Calibration for Sentaurus
Process. To account for the temperature dependency of implantation, section 3.2.4 contains
the procedure AdvancedThermalImplantModel. On demand, this procedure switches on a
consistent framework and calibration for thermal implantation.

Advanced Calibration for Process Simulation User Guide 87


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 3: Ion Implantation and Initial Conditions

To use this procedure, specify the command AdvancedThermalImplantModel after loading


the Advanced Calibration file. An implantation temperature other than room temperature
must be specified in the implant command:
implant Carbon dose=1.0e+15 energy=6.0 temperature=-100

At elevated temperatures, some dopants (for example, arsenic) diffuse during implantation.
Since diffusion is not modeled in continuum during implantation, you must specify a
corresponding diffuse command immediately after the implant command, with the
diffusion temperature of the implant command and with the diffusion time depending on the
dose (for example, 1e15 cm–2) and the average dose rate (for example, 5e13 cm–2 s–1):
implant Arsenic dose=1.0e+15 energy=2.0 temperature=450
diffuse temp=450 time=[expr 1.0e+15/5.0e+13]<s>

Note:
When using Advanced Calibration Version R-2020.09 or earlier versions, to use
the procedure AdvancedThermalImplantModel in combination with
SiGe_and_Stress_Effect, place the commands in the following order
(otherwise, some settings for initial conditions after thermal implantation are
overwritten):
SiGe_and_Stress_Effect 1 x x x ;# first
AdvancedThermalImplantModel ;# afterwards

It is recommended to use the ChargedReact model for implant temperature


diffusion. In addition, to allow diffusion at the implant temperature, the minimal
diffusion temperature for which diffusion equations are solved must be set
accordingly. In Advanced Calibration, it is 300°C. The minimal diffusion
temperature is defined by:
pdbSetDouble Diffuse minT 300.0

The following sections describe the implementation and calibration of the procedure
AdvancedThermalImplantModel.

Scope of Calibration
In AdvancedThermalImplantModel, a calibration is included only for Sentaurus MC
implantation, which allows a calibration for amorphization and channeling at the same time.
Since analytic implantation profiles are used for room temperature implantations in general,
they might need adjustments for cold and hot implantations, which is beyond the scope of
the AdvancedThermalImplantModel procedure.
The calibration covers thermal implantation from cold (–100°C) to hot (500°C) into silicon,
based on data from AMAT-VSE [137] [138] for implanted ions included in the calibration,
which are antimony, arsenic, boron, carbon, fluorine, germanium, indium, phosphorus, and
silicon.

Advanced Calibration for Process Simulation User Guide 88


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 3: Ion Implantation and Initial Conditions

Thermal implantation into SiGe and Ge is currently not calibrated, meaning the parameters
are independent of the implant temperature. However, the AdvancedThermalImplantModel
procedure is compatible with the SiGe parameter interpolation and the calibration included
in the SiGe_and_Stress_Effect procedure. This means that you can simulate thermal
implantation into SiGe, but it is not necessarily accurate, since the SiGe parameters are
linearly interpolated between the temperature-dependent Si parameters and the Ge
parameters for room temperature.
Note:
Since calibration of thermal implantation is based on a specific smaller
experimental dataset from AMAT-VSE, it is not necessarily identical at the default
room temperature to the default calibration for damage and initial conditions.

Debye Temperature, Electronic Stopping, and Damage


The parameter DebyeTemperature is used in the Sentaurus Process model for lattice
vibrations. In Sentaurus MC, these vibrations play a role in scattering ions out of the
channeling directions of the silicon crystal. For modeling implantation of arsenic or boron at
high energies, the most accurate calibration of ion channeling in silicon has been obtained
by defining DebyeTemperature as a function of ion energy and wafer temperature. For
phosphorus, the [Link] is used. The parameters of this model are also
defined as a function of ion energy and wafer temperature.
For arsenic and boron implantation, the parameter [Link], which scales the energy loss
due to nonlocal electronic stopping, is defined as a function of ion energy and wafer
temperature as well.
For Sentaurus MC implantations, the implantation damage is adjusted in section [Link] by
changing the dynamic annealing factor, that is, the parameter for the survival rate of the
Frenkel pairs for the noncascade damage model, by either increasing the value for cold
implantations [137] or decreasing the value for hot implantations [138]:
pdbSet Si <species> [Link] <value>

This not only increases (or decreases) damage during implantation to influence channeling,
but also leads to more (or less) amorphization at the end of the implantation.
Temperature dependencies of the MC implantation model parameters are defined in the
implantation callback procedure AdvancedThermalImpPreProcess, so you can access the
current implantation temperature. This callback procedure is defined exclusively in the
AdvancedThermalImplantModel procedure and is called in the _AdvCal::ImpPreProcess
procedure defined in section 3.6.

Advanced Calibration for Process Simulation User Guide 89


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 3: Ion Implantation and Initial Conditions

Initial Conditions
The temperature-independent damage scaling factors mcdfactor are adjusted for
phosphorus, carbon, and silicon in section [Link]. The mcdfactor for other implanted
species are aligned with standard Advanced Calibration values.
The interstitial scaling factors ifactor are defined to be temperature dependent for arsenic
and phosphorus. While the initial activation for recrystallized regions (aminit) is assumed
to be independent of the implantation temperature, the initial activation for crystalline
regions (acinit) is defined to be temperature dependent for arsenic, phosphorus, and
boron.
At room temperature, both ifactor and acinit values defined in the callback procedure
AdvancedThermalImpPreProcess are aligned with standard Advanced Calibration values
and with the values from SiGe parameter interpolation included in the procedure
SiGe_and_Stress_Effect.

If you use the ChargedReact model, which is recommended for thermal implantations, the
initial distribution of arsenic and boron in substitutional and interstitial configurations is
defined in section [Link] in the callback procedure AdvancedThermalDiffPreProcess. In
pure silicon, 5% of implanted As atoms and 3% of implanted B atoms are directly put into
As–I and B–I pairs, respectively. This procedure is defined exclusively in
AdvancedThermalImplantModel and is called in the _AdvCal::DiffPreProcess
procedure defined in section 3.7.

Implantation Preprocessing and Postprocessing


See section 3.6 of AdvCal_2024.[Link].

Analytic Implantation
For implantations with dose < 1012 cm–2, ChanDoseScaling=1. The dose of 1012 cm–2
corresponds to the smallest entries in the double-Pearson Default implantation tables.
With ChanDoseScaling=1, for doses smaller than the smallest entries in the table, the
channeling dose scales linearly with the total dose.
For dose > 1012 cm–2, ChanDoseScaling is irrelevant for single implantations. For several
subsequent implantations, ChanDoseScaling is sometimes important because the
CoImplant model is used in Advanced Calibration. In the CoImplant model, the damage
from previous implantations is converted into an equivalent dose, as described in the
Sentaurus™ Process User Guide. If this equivalent dose is smaller than the smallest dose
in the table used, the calculation of the channeling dose depends on ChanDoseScaling.
For dose > 1012 cm–2, ChanDoseScaling=1 for backward compatibility of results obtained
with the CoImplant model.

Advanced Calibration for Process Simulation User Guide 90


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 3: Ion Implantation and Initial Conditions

Sentaurus Process offers an improved implantation table boron_in_silicon_2012 for


boron implantations with energy > 200 keV. To automatically select this table for
energy > 200 keV, users of Advanced Calibration can set the parameter:
pdbSetBoolean ImplantData B UseHighEnergyBoronTable 1

With UseHighEnergyBoronTable set to 1, the alternative table is switched on for boron


implantations with energy > 200 keV in the procedure _AdvCal::ImpPreProcess, which is
called at the beginning of executing an implant command. In the procedure
_AdvCal::ImpPostProcess, which is called at the end of executing an implant command,
Sentaurus Process switches back to the Default table for boron implantations, which is
usually preferred for low-energy boron implantations.
The table boron_in_silicon_2012 uses the Taurus table format (and, additionally, for low
energy (energy < 85 keV), it is identical to the Taurus table for boron implantations). In the
Taurus format tables, the CoImplant model is not applied. With the improved table, to take
into account the reduction of high-energy boron ion channeling due to preceding
implantations, you can use the PAI model. To do this, specify the keyword pai in the
corresponding implant command.

Monte Carlo Implantation (General)


The procedures _AdvCal::ImpPreProcess and _AdvCal::MCPostProcess are used for
the energy- and dose-dependent calibration of implantation profiles and amorphization by
Sentaurus MC.
The parameters for As, B, BF2, H, He, and P in silicon have been adjusted to improve the
accuracy of as-implanted profiles. A more accurate peak position of As, B, H, He, and P
implantation profiles is achieved by the calibration of the electronic stopping correction
factor, [Link].
For H implantation, for energies up to 1.5 MeV, [Link] has been calibrated against SIMS
profiles. For energies between 2.0 MeV and 6.0 MeV, [Link] has been calibrated with
spreading resistance profiling (SRP) data measured after H implantation and subsequent
annealing at low temperatures. The depth of the maximum concentration of H-induced
donors, visible in spreading resistance profiles, is typically close to the depth of the
maximum concentration of H after ion implantation.
Sentaurus MC uses two methods for the calculation of binary collisions. For most energies,
scattering tables are used. For the highest energies, the collisions are calculated using a
Coulombic form of the potential between the collision partners. In the Sentaurus MC
defaults, the high-energy range starts when the dimensionless energy exceeds the value of
1000. This corresponds to an energy of ~9780 keV for B–Si binary collisions and even
higher energies for heavier particles. For He implantation, an older version of the scattering
tables is used. It has the same entries as the new version but is limited to an energy range
up to a dimensionless energy of 100. This is achieved by setting the Boolean parameter
[Link] to 0, for He implantation.

Advanced Calibration for Process Simulation User Guide 91


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 3: Ion Implantation and Initial Conditions

You can define an energy range in which Sentaurus MC interpolates between the two
methods. In AdvCal_2024.[Link], such transition ranges are defined for B, P, and H
implantations. Figure 7 illustrates B and P implantations.

Figure 7 Method for calculation of binary scattering for (left) B and (right) P implantation into
Si, as defined in AdvCal_2024.[Link]. At low energies, scattering tables (0%
Coulombic scattering) give the most accurate results. Then, a transition range is
defined in which the scattering is interpolated between results from scattering tables
and results from Coulombic scattering. At the highest energies, 100% Coulombic
scattering is used.
1 1
Fraction Coulombic Scattering

Fraction Coulombic Scattering


0.8 0.8

0.6 0.6

0.4 0.4

0.2 0.2
Boron Phosphorus
0 0
0 2000 4000 0 10000 20000
Energy [keV] Energy [keV]

The following parameters are used, with values originating from optimization against SIMS
data:
pdbSetDouble Si B [Link] 820
pdbSetDouble Si B [Link] 4000
pdbSetDouble Si B [Link] -1
pdbSetDouble Si B [Link] 1.5
pdbSetDouble Si P [Link] 2392.6
pdbSetDouble Si P [Link] 21968
pdbSetDouble Si P [Link] -1.212
pdbSetDouble Si P [Link] 2.44

The parameters [Link] and [Link] specify the energy range in


which interpolation is performed. Instead of linear interpolation from 0% to 100% scattering
in Coulombic form, Sentaurus Process uses a curved interpolation, as shown in the red and
green curves in Figure 7. The shape of these curves follows exactly the shape of the
arctangent function in the interval [[Link],
[Link]].

The parameter DebyeTemperature is used in the Sentaurus Process model for lattice
vibrations, which play a role in scattering ions out of the channeling directions of the silicon
crystal. For As and B, DebyeTemperature has been calibrated as a function of implantation
energy. The default value of 519 K is used for low and medium energies. At higher energies,
the value is interpolated from a list of xy value pairs. This interpolation is performed with the

Advanced Calibration for Process Simulation User Guide 92


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 3: Ion Implantation and Initial Conditions

function XYLogInterpol, which interpolates in log scale for both x- and y-values. The
calibration for high implantation energies has been performed by matching the channeling
tail of SIMS data provided by Applied Materials or extracted from [139] and [140]. Figure 8
shows the calibrated values for As and B implantation performed at room temperature.

Figure 8 Parameter DebyeTemperature calibrated as a function of initial ion energy to


reproduce ion channeling for room temperature implantation of As or B into Si
1000
Parameter DebyeTemperature of Silicon
DebyeTemperature [K]

Arsenic
Boron
Sentaurus Process default
100
10 100 1000 10000
Energy [keV]

For phosphorus implantation with energies higher than 500 keV, the [Link] is
switched on. In that model, the Debye temperature used in the model for lattice vibration is
not defined as a function of the initial ion energy, but as a function of the local ion energy,
which decreases as the P ions lose energy along their paths. The parameters are defined in
the following way:
pdbSet MCImplant [Link] 1
pdbSetDouble Si [Link] 1.0
pdbSetDouble Si [Link] [expr log($DTmin/519.)/log($Energy)]

Here, Energy is the energy at which the P ion is implanted. DTmin is the value of the
parameter DebyeTemperature to be used at this initial energy. DTmin itself depends on the
initial ion energy as shown in Figure 9. With these parameters, the value of the local Debye
temperature changes smoothly from DTmin at the initial energy to 519 K at 1 keV, as the P
ion loses energy, as shown in Figure 9.
For cold or hot implantation, the most accurate results for ion channeling have been
achieved by defining the value of DebyeTemperature as a function of implantation energy
and wafer temperature. For P implantation, the parameter DTmin is defined as a function of
the implantation energy and wafer temperature. The corresponding calibration is selected
when using the procedure AdvancedThermalImplantModel.

Advanced Calibration for Process Simulation User Guide 93


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 3: Ion Implantation and Initial Conditions

Figure 9 Definition of parameter DTmin and local Debye temperature for P implantation into
Si at room temperature. DTmin depends on the initial energy as shown by the green
line. The red, blue, and violet lines show the local value of Debye temperature to be
used in the lattice vibration model as a function of the local ion energy, for different
initial energies: 300 keV, 2000 keV, and 7000 keV, respectively.
1000
P in Si: DTmin and local DebyeTemperature
Local DebyeTemperature [K]

519 K
DTmin (at room temperature)
Local DebyeTemp. (Energy = 300 keV)
Local DebyeTemp. (Energy = 2000 keV)
Local DebyeTemp. (Energy = 7000 keV)
100
1 10 100 1000 10000
Energy [keV]

The parameters [Link] and [Link] are used by the MC model for local electronic
stopping. They can be used for fine-tuning the depth of the channeling tail and the width of
the peak of as-implanted profiles. For As and P high-energy implantations, the parameter
[Link] is interpolated from a list of xy value pairs. The interpolation is performed with the
function XLogInterpol, which performs logarithmic interpolation of the x-value (here,
implantation energy) and linear interpolation of the y-value. The [Link] parameter is
defined differently from the default for As, H, and He implantation.
The calibration for H covers the energy range 5–4000 keV. The calibration for He was tested
against SIMS data from 1000 keV and 2000 keV He implantations. For high-energy
implantation in the channeling direction (tilt=0), the channeling of H and He atoms is very
sensitive to the tilt angle, and the calibration of [Link] is less reliable. The calibration of
the parameters [Link] and [Link] helps to reproduce the channeling tail of low-energy B
implantations. These parameters are reset to their default values in the procedure
_AdvCal::MCPostProcess.

For As, BF2, and Ge implantation into silicon, the damage scaling defined in the callback
procedure mcdfactor_$Species depends on the implantation energy and dose. In
particular, for accurate amorphization by high-dose As implantations, a high damage scaling
factor is required. However, the dose dependency of the damage scaling factor has been
introduced to reduce amorphization by low- and medium-dose As implantations, such as
channel or pocket implantations in CMOS technology.

Advanced Calibration for Process Simulation User Guide 94


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 3: Ion Implantation and Initial Conditions

Carborane
You should use Sentaurus MC for molecular implantation (see Molecular Implantation on
page 174).
In the case of Sentaurus MC implantation of carborane into silicon, the following settings
defined in the procedures _AdvCal::ImpPreProcess and _AdvCal::MCPostProcess help
to obtain accurate results for the amorphization and good initial conditions:
1. The procedure _AdvCal::ImpPreProcess is called before the implantation. It adjusts
amorphization by carborane implantation. With $Energy as the energy of the carborane
molecule, the following parameter values are set before the carborane implantation:
pdbSet Si B [Link] [expr (25.0/$Energy+0.25)]
pdbSet Si C [Link] [expr (25.0/$Energy+0.25)]
pdbSetDouble Si [Link] 0.33
pdbSetDouble Si B [Link] 0.37

where [Link] (survival rate) scales the crystal damage, which is generated by nuclear
collisions during the implantation. In particular for small energies, it must be set to very
high values; otherwise, ion channeling during implantation is overestimated. In addition,
the calibration of the parameters [Link] and [Link] helps to further improve the
accuracy for the channeling tail.
2. The procedure _AdvCal::MCPostProcess is called after the MC implantation. In the
case of carborane implantation, it resets [Link] for B and C to the default values, as
there might be other B or C ion implantations later in the process simulation:
pdbSet Si B [Link] 0.225 ; # Sentaurus Process default
pdbSet Si C [Link] 0.45 ; # Sentaurus Process default

3. The procedure _AdvCal::MCPostProcess also switches off the hydrogen solution to


save CPU time in subsequent anneals:
solution name=Hydrogen nosolve store

4. The procedure _AdvCal::MCPostProcess also sets the number of interstitials to be


generated by carborane implantation. Otherwise, Sentaurus Process adds
‘automatically’ one interstitial for each C, B, and H atom. This is not reasonable for H,
which probably comes to rest in an interstitial position and is unlikely to kick out Si atoms
from the lattice site. In addition, one ‘free’ interstitial per C atom might be an
overestimation, because 2/3 interstitials per C are already included in C3I2 clusters,
which the C atoms are assumed to form immediately after implantation in the
nonamorphized regions.
Therefore, a correction of the ‘automatic’ calculation of excess interstitials is
implemented:
set ifactor [ifactor_C2B10H12 $Energy $Dose]
sel z = "Int_Implant - $ifactor * Hydrogen_LastImp - \
0.667*Carbon_LastImp" Silicon name=Int_Implant store

Advanced Calibration for Process Simulation User Guide 95


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 4: Comprehensive and Slow Models

The amorphization of silicon by carborane implantation has been calibrated, with TEM data
provided by AMAT-VSE, for implantation energies in the range from 2.6 keV to 20 keV.

Part 4: Comprehensive and Slow Models


The fourth part of AdvCal_2024.[Link] contains the procedure AdvancedModels. This
procedure switches on a consistent calibration of some complex models for silicon, which
are not used by default. To use this procedure, apply the command AdvancedModels
immediately after loading the Advanced Calibration file. This switches on the following
models:
• The ChargedReact model for the diffusion of B, As, P, In, and F. This is a good choice for
any processes in which steep and shallow p-n junctions are formed.
• The Full model for interstitial clusters. In this model, the kinetics of formation and
dissolution of small interstitial clusters, {311} defects, and dislocation loops is described
by seven equations [22].
• The ChargedCluster model for boron–interstitial clusters (BICs) and indium clusters.
Boron-clustering kinetics is described by the formation and dissolution of six types of
BIC: B2, B2I, B2I2, B3I, B3I2, and B3I3. Indium clustering is described by three clusters:
In2, In2I, and In2V. In addition, initial conditions after indium implantation are redefined.
• AdvancedFluorineModel for fluorine uses the ChargedCluster model for fluorine
clusters and allows you to simulate the fluorine effect on boron diffusion in a more
accurate way compared to the model used by default.
In addition, other model parameters are adjusted for As, B, P, and In diffusion. These
additional adjustments have been calibrated with SIMS data. They are needed because the
simulation of transient-enhanced diffusion of these dopants is affected by switching on the
Full model for interstitial clusters instead of the 1Moment model, which is the default in
Advanced Calibration.
The disadvantage of switching on the advanced models is that the total number of equations
to be solved for dopant and defect clustering increases sharply, which leads to a typical
increase of CPU time for annealing by a factor of three or more, in comparison to simulations
with the Advanced Calibration standard models. Another disadvantage is that, due to the
larger number of model parameters, additional calibration is typically more difficult than with
the standard models.
In general, it is not recommended to use only part of the advanced models. In particular, for
B USJ formation, you should not use the ChargedCluster model without switching on the
Full model for interstitials, and vice versa. However, in devices where the maximum
concentration of B or As is small, you might consider selecting a simple clustering model for
this dopant to save CPU time.

Advanced Calibration for Process Simulation User Guide 96


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 4: Comprehensive and Slow Models

The option AdvancedModels is interesting for fundamental research on process simulation


models and for applications where the standard models are too simple to capture all trends
correctly. These can include, for example, the modeling of dopant activation and defect
annealing during low-temperature processes.
The next sections describe the contents of the procedure AdvancedModels.

Interstitial Clusters
See section 4.1 of AdvCal_2024.[Link].
The advanced interstitial clustering model, first published in [22], is switched on by:
pdbSet Si Int ClusterModel Full
pdbSet Si Int MultiClusterModel Full { 2Moment Loop }

In this model, seven equations are solved to describe the kinetics of self-interstitial clusters:
• Three data fields (I2, I3, I4) describe small interstitial clusters (SMICs).
• Two data fields (D311, density of {311} defects, and C311, density of interstitials bound
in {311} defects) describe the presence of {311} defects.
• Two data fields (DLoop, density of dislocation loops, and CLoop, density of interstitials
bound in dislocation loops) describe dislocation loops.
For a complete description of the model and a comparison to experimental data, refer to the
literature [22]. Figure 10 and Figure 11 illustrate the differences of this model to the default
1Moment model.

The high supersaturation of interstitials in the initial phase of low-temperature annealing is


important also for USJ formation with spike annealing, since all temperature ramps start at
low temperature. Therefore, if the Full model is used for interstitial clusters, a suitable
calibration of transient-enhanced diffusion of dopants that diffuse together with interstitials
(B, P, In) requires either a dopant–interstitial clustering model or reduced diffusivities of
dopant–interstitial pairs at low temperatures.
The following command defines the initial conditions:
pdbSet Si I2 InitPercent 1.0

Excess interstitials generated by ion implantation are placed in I2 clusters.

Advanced Calibration for Process Simulation User Guide 97


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 4: Comprehensive and Slow Models

Figure 10 Time evolution of interstitial supersaturation after low dose 40 keV silicon
implantation, during annealing at 600°C; experimental data points are taken from
[9]. For short-time anneals (< 1000 s), a high supersaturation is maintained by
dissolution of SMICs (small interstitial clusters). This is reproduced correctly with
the Full model, but not with the 1Moment model. For longer anneal times, the
interstitial supersaturation is maintained by {311} defects. In this situation, the
1Moment model gives similar results to the Full model.

107

106
Interstitial Supersaturation

105

104

103

102
Experiment
101 Simulation: AdvancedModels
Simulation: 1Moment model
100
100 101 102 103 104 105
Time [s]

Figure 11 Time evolution of concentration of interstitials in {311} defects and dislocation loops
after amorphizing silicon implantation, during annealing at 800°C. Experimental
data points (TEM) are extracted from [141]. The Full model offers a good description
of interstitials bound to {311} defects and dislocation loops. In contrast, the
1Moment model underestimates the stability of interstitial clusters in situations with
dislocation loops.

1014
Clustered Interstitials [cm−2]

TEM: Total interstitials in clusters


Simulation: Total interstitials in clusters, Full model
Simulation: Interstitials in clusters, 1Moment model
1013 TEM: Interstitials in loops
Simulation: Interstitials in loops, Full model
TEM: Interstitials in {311} defects
Simulation: Interstitials in {311}, Full model

14
1012 100 keV silicon implant, dose 2x10 , anneal at 800°C

102 103
Time [s]

Advanced Calibration for Process Simulation User Guide 98


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 4: Comprehensive and Slow Models

Boron–Interstitial Clusters
See section 4.2 of AdvCal_2024.[Link].
Boron–interstitial clusters (BICs) should be used in combination with the Full model for
interstitial clusters. The BIC model is selected by:
pdbSet Si B ActiveModel ChargedCluster

All clusters are assumed to be electrically neutral. The most important model parameters
are the formation energies of the various clusters. These have been optimized by
comparison to a collection of SIMS data for various process conditions. Figure 12 shows the
model reaction pathway. The five-stream (ChargedReact) diffusion model for B is switched
on together with the BIC model.

Figure 12 Reaction pathway for BIC model

B2
I,V BI

BI
B B2I B3I

BI
I,V I,V

BI
BI B2I2 B3I2

BI I,V
B3I3

Prior to Advanced Calibration, Version Q-2019.12, the BIC model described the activation of
B more accurately than the Transient cluster model, especially for thermal anneals with a
low thermal budget, such as low-temperature rapid thermal annealing (RTA) or millisecond
annealing [142]. However, this is no longer the case.
In AdvCal_2019.[Link], the Transient cluster model was substantially improved, by
better taking into account the role of interstitials in the formation of B clusters (see Boron
Clustering on page 41). With this improvement, the Transient model describes the
activation of B slightly better than the BIC model.
An example is shown in Figure 13, where the AdvancedModels provides superior accuracy
for RTA at 900°C (left). No substantial improvement is obtained for the spike anneal (right)
for typical CMOS technology.

Advanced Calibration for Process Simulation User Guide 99


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 4: Comprehensive and Slow Models

Figure 13 Comparison of simulated B profiles with AdvancedModels (red lines: Full model for
interstitial clusters, ChargedCluster and ChargedReact models for B) and default
Advanced Calibration model (blue lines: 1Moment model for interstitial clusters,
Transient model for B clusters, ChargedReact model for B) to SIMS data. (Left)
Boron profiles after RTA at 900°C (SIMS data from [143]). AdvancedModels is
significantly more accurate. (Right) Boron profiles after a spike anneal at 1050°C
(SIMS data from AMAT-VSE). All simulations are performed with
AdvCal_2020.[Link], either with or without the AdvancedModels option.

AdvancedModels AdvancedModels
AdvancedCalibration default AdvancedCalibration default
1020 SIMS 1021 SIMS
Boron Concentration [cm−3]

Boron Concentration [cm−3]


1019 1020

1018 1019

1017 1018

B 20 keV, dose 5e14, 30 s at 900°C B 0.5 keV, dose 1e15, spike anneal 1050°C
1016 1017
0 100 200 300 0 20 40 60
Depth [nm] Depth [nm]

Arsenic Parameters in AdvancedModels


See section 4.3 of AdvCal_2024.[Link].
The five-stream (ChargedReact) diffusion model for arsenic is switched on in
AdvancedModels. Other parameters do not change.

Phosphorus Parameters in AdvancedModels


See section 4.4 of AdvCal_2024.[Link].
The five-stream (ChargedReact) diffusion model for phosphorus is switched on in
AdvancedModels. In addition, parameters are prepared for the trapping of P at EOR defects.
However, by default, the EORTrap model is deactivated.

Advanced Calibration for Process Simulation User Guide 100


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 4: Comprehensive and Slow Models

ChargedCluster Model for Indium


See section 4.5 of AdvCal_2024.[Link].
Three types of indium cluster are taken into account: In2, In2I, and In2V. The following
reactions for the formation and dissolution of clusters are considered:
• In–I + In<=> In2I
• In2 + I <=> In2I
• In2V + I <=> In2
The most stable cluster type is In2V. It is assumed that In2I and In2 clusters are formed at the
very beginning of thermal annealing after implantation.
The five-stream (ChargedReact) diffusion model for In is switched on in AdvancedModels.
The following lines help to improve the convergence of diffusion simulation with indium:
pdbSetDouble Si In [Link] 1e5
pdbSetDouble Si In [Link] 1e-2

Fluorine Diffusion and Clustering


See section 4.6 of AdvCal_2024.[Link].
The procedure AdvancedFluorineModel defines a physics-based model for fluorine
diffusion and clustering in silicon. It can be used in combination with both models for
interstitial clusters, that is, the default 1Moment model and the full model of the
AdvancedModels set.

Based on the literature [144][145][146], fluorine tends to stay in the interstitial position due
to a strong interstitial fluorine binding. In the context of the five-stream diffusion model
ChargedReact, this means that the neutral component of ChargePair is set to a relatively
high value, leading to a higher interstitial fluorine (FluorineInt) concentration than the
‘substitutional’ fluorine (Fluorine) concentration in general. Moreover, the literature
[144][145][146] indicates that interstitial fluorine prefers to decorate vacancies to form
so-called fluorine–vacancy clusters. In the framework of the ChargedCluster model in
which ‘substitutional’ impurities cluster with silicon point defects, these clusters of interstitial
fluorines and vacancies (that is, F3V) result in fluorine–interstitial clusters (that is, F3I2)
through the following relation:

F 3 V  Fi 3 V  F 3 I 3 V  F 3 I 2 (12)
The allowed cluster types are F2, F2I, and F3I2 with formation energies inherited ab
initio [146]. The initial conditions of fluorine after ion implantation are mainly interstitial
fluorine for crystalline silicon and partially clustered in F2I, and F3I2 in recrystallized silicon.

Advanced Calibration for Process Simulation User Guide 101


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 4: Comprehensive and Slow Models

The three-phase segregation model is selected as the Si–SiO2 interface model for fluorine
with parameter values allowing for strong dose loss. In addition, two F atoms located in the
Si–SiO2 interface are allowed to cluster with a B atom, resulting in a fluorine-dependent
boron dose loss. In the presence of fluorine, some B atoms in the interface cluster with
fluorine, thereby freeing interface traps for single B atoms. This leads to an increased total
number of boron traps in the interface and, therefore, a stronger boron dose loss. The
interface trap density, emission, and trapping rates, and the cluster formation and
dissolution rates have been calibrated based on SIMS data. The Alagator implementation of
the B–F cluster formation at Si–SiO2 interfaces is part of the Advanced Calibration file.
For additional fine-tuning of the model, see Fluorine Diffusion and Clustering on page 176.

Carbon Diffusion and Clustering


See section 4.7 of AdvCal_2024.[Link].
Carbon segregation to the end-of-range defects can be modeled by the trapping of carbon
by {311} defects and loops. The corresponding calibrated model can be optionally activated
by setting:
pdbSetBoolean Si C EORTrap 1

following the AdvancedModels command.

Nitrogen Diffusion and Clustering


See section 4.9 of AdvCal_2024.[Link].
The procedure AdvancedNitrogenModel defines a physics-based model for nitrogen
diffusion and clustering in silicon. It can be used in combination with both models for
interstitial clusters, that is, the default 1Moment model and the full model of the
AdvancedModels set.

According to the literature [147], nitrogen behaves differently from other group V impurities
in bulk Si. While P, As, and Sb are shallow n-type dopants, substitutional nitrogen Ns is a
deep-level impurity. Therefore, the neutral five-stream diffusion model NeutralReact is
selected. Nitrogen has low solubility due to a strong interstitial nitrogen binding [147]. The
stable nitrogen–interstitial Ni pair shows strong diffusion due to a low migration barrier [147]
[148]. In addition, substitutional nitrogen forms stable pairs with a vacancy [149].
The reaction Ni + V <=> Ns has been calibrated to allow for detailed balance with the
reaction Ns + I <=> Ni, meaning that the reaction rates vanish in equilibrium.
Current understanding attributes nitrogen diffusion in silicon not only to the migration of
interstitial nitrogen, but also to the migration of the nitrogen dimer N2I2 [148]. In this

Advanced Calibration for Process Simulation User Guide 102


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 4: Comprehensive and Slow Models

calibration, the nitrogen dimer diffusion is activated by selecting the Dimer model for
nitrogen:
pdbSet Si N Dimer NDimer

and defining a diffusivity for the nitrogen dimer. The nitrogen dimer can form immobile
clusters with both interstitials and vacancies, which are stable to high temperatures [150].
These complexes then can suppress the formation of large vacancy or interstitial clusters.
Again, all reactions have been calibrated to allow for detailed balance.
The ThreePhaseSegregation model describes nitrogen dose loss. At oxide–silicon
interfaces, the parameter Scale.PairSegregation_Silicon is set to 1.0. This means that
no silicon self-interstitials are left behind when Ni pairs cross the interface from silicon to
oxide. When using AdvancedNitrogenModel, the nitrogen trapped at the oxide–silicon
interface due to nitrogen dose loss has no impact on the oxidation rate in N2O atmosphere.
See Nitrogen Diffusion and Clustering on page 177 for additional fine-tuning of the N and N
dimer clustering model.

Solid Phase Epitaxial Regrowth


See section 4.10 of AdvCal_2024.[Link].
The solid phase epitaxial regrowth (SPER) model in continuum Sentaurus Process
simulates the movement of amorphous–crystalline (a/c) interfaces due to the
recrystallization of the amorphous silicon and the dopant dynamics during such a process.
The procedure AdvancedSPERModel containing the calibration of the SPER phase field
model is not activated by default. It can be switched on as an option and used in combination
with all other models of Advanced Calibration.
The boundary movement during SPER is described with the specific solution fields, either
the distance field by the level-set method or the phase field by the phase field method. The
phase field method is selected by:
pdbSet Diffuse [Link] PhaseField

It uses a consistent mesh structure, so that the phase and the other solutions are coupled
seamlessly into the Scharfetter–Gummel discretization scheme, which improves the
convergence if there is high drift due to an abrupt phase change.
With the calibration parameters of AdvancedSPERModel and a decent fine mesh (1–2 nm
spacing) in the amorphized region, the phase field method has proven to be robust in one
and two dimensions, having no convergence or oscillation problems. The mesh spacing in
amorphized regions should not exceed the phase transition width of 3 nm to allow for
accurate and stable simulation results.
The use of the phase field method is straightforward. In the case of annealing after an
amorphizing implantation, the phase field method assumes no diffusion in a crystalline

Advanced Calibration for Process Simulation User Guide 103


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 4: Comprehensive and Slow Models

region during SPER, so that only recrystallization, diffusion in amorphous regions, and
redistribution at a/c interfaces occur.
As soon as regrowth is completed, the phase field method is deactivated and the regular
diffusion initialization is applied. The dopant activation in the regrowth region is performed
with the pdb parameter AmInit or the term ${Sol}AmInit. Finally, the standard equations
for crystalline regions are solved for the remaining annealing time.

Recrystallization Speed
The calibration includes parameters for the recrystallization speed for undoped amorphous
silicon (a-Si) in the (100) orientation as based on the literature [151].
The corresponding parameters are:
pdbSet Si SPER PhaseTransWidth 0.003
pdbSet Si SPER [Link] 1.61
pdbSet Si SPER [Link] { [Arr 3.08e8 2.68]/ \
[pdbGet Si SPER PhaseTransWidth]/[pdbGet Si SPER PhaseTransWidth]}

For the definitions of these parameters, see the Sentaurus™ Process User Guide. In
general, you do not need to change these parameters. The phase transition width
PhaseTransWidth has been chosen to be 3 nm to guarantee good convergence for regular
meshes used in process simulation, with spacing less than 3 nm in regions of the a/c
interface. Finally, the parameters [Link] and [Link] have been chosen to give
the correct recrystallization speed for the given PhaseTransWidth.
In addition, retardation of recrystallization by the presence of fluorine is taken into account,
but to a smaller extent than reported in the literature [152]. The change in recrystallization
speed is defined by the factor:
pdbSet Si SPER [Link] "(0.8+0.2*3.e18/(3.e18+Fluorine))"

Enhancement of the recrystallization speed by both n-type and p-type doping is not
included. According to Olson and Roth [151], the speed of SPER can be enhanced by a
factor of approximately 10 in a-Si with a boron concentration > 2e20 cm–3. To adjust the
recrystallization speed, change the expression of [Link].
In addition, the orientation-dependent parameters are set by:
pdbSet Si SPER [Link] { 100 20.0 110 10.0 111 1.0 }
pdbSet Si SPER [Link] { 100 0.0 110 0.0 111 0.0 }

This means that the recrystallization in the (100) orientation is twice as fast as in the (110)
orientation, and 20 times as fast as in the (111) direction, following [153]. In the case of
recrystallization of an amorphized pocket on a (100) wafer, recrystallization towards the
surface is faster than in the lateral direction (see Figure 14).

Advanced Calibration for Process Simulation User Guide 104


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 4: Comprehensive and Slow Models

Figure 14 Orientation-dependent SPER in two dimensions for an amorphized pocket on a


(100) Si wafer: (upper left) SPER phase field shown in as-implanted Si, in partially
recrystallized Si, and (lower right) deactivated in fully recrystallized Si. In this
example, regrowth is faster in the vertical (100) direction than in the lateral (110)
direction.

In the model, the reduced speed of SPER in the (110) and (111) directions leads to an
increased snow plow effect. Whether dopants are really pushed more for SPER in the (110)
direction than for SPER in the (100) direction has not been investigated yet in experiments.
For the very slow regrowth in the (111) direction, the calibration of doping redistribution
during SPER has not been tested yet.
The physical mechanism behind the anisotropy of SPER velocity is that recrystallization is
slowest in (111) planes, and the recrystallization front propagates along (111) nanofacets in
the (100) direction and along (111) nanoridges in the (110) direction, as explained in [154].
This mechanism and its consequences on SPER near material boundaries can be modeled
accurately with lattice KMC simulations [153], but not yet with continuum process
simulations.
In 2D and 3D continuum process simulations, after switching on AdvancedSPERModel, you
can enforce equal SPER regrowth rate in all directions by inserting the line:
pdbSet Si SPER [Link] { 100 20.0 110 20.0 111 20.0 }

Advanced Calibration for Process Simulation User Guide 105


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 4: Comprehensive and Slow Models

This might be considered the safest option when using AdvancedSPERModel because
dopant redistribution during SPER in the (110) and (111) directions will not exceed the
dopant redistribution in the (100) direction, which has been calibrated against SIMS data.

Doping Redistribution
A general calibration is provided for the doping or impurity redistribution of B, As, P, In, F,
and C. For solutions to be redistributed during SPER, the diffusivity in a-Si (DAmor) and the
segregation energy ([Link]) must be defined. Nonzero diffusivities allow for diffusion
in a-Si, while nonzero segregation energies allow for the snow plow effect by a
semipermeable a/c interface.

Boron
According to Venezia et al. [155], no boron is swept by the recrystallization front. The
diffusivity in a-Si, which was reported to be high at the very beginning of SPER and then to
become smaller during SPER, as an effect of increasing order inside a-Si [156], was set to
[Arr 1.0 2.68].

Clustering of B in a-Si is not taken into account in continuum Sentaurus Process. Note that
the diffusivity of boron in a-Si has the same Arrhenius energy (2.68 eV) as the velocity of the
a/c interface during SPER.

Arsenic
Following Venezia et al. [155] and Suzuki et al. [157], arsenic is swept by the
recrystallization front (see Figure 15). Note that the diffusivity of As in a-Si has the same
Arrhenius energy (2.68 eV) as the velocity of the a/c interface during SPER. With this
choice, the snow plow effect for As is independent of the temperature at which SPER
occurs.
A very small diffusivity in a-Si has been set for As, following Duffy et al. [158] reporting little
significant As diffusion at 600°C.

Advanced Calibration for Process Simulation User Guide 106


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 4: Comprehensive and Slow Models

Figure 15 Simulation of recrystallization with arsenic redistribution during SPER for Ge


PAI + As 2 keV 1015 cm–2 implantation and anneal at 700°C for 2 hours [155]. The
simulation results have been achieved with Advanced Calibration, with
AdvancedModels and AdvancedSPERModel switched on, and with ifactor for Ge
implantation set to 3.0.

annealed (simulation)
1021 annealed (SIMS)
as-implanted (simulation)
as-implanted (SIMS)
Concentration [cm−3]

1020

1019

1018
0 10 20 30
Depth [nm]

Phosphorus
Phosphorus is swept by the recrystallization front, and a small diffusivity in a-Si has been set
for P, following Duffy et al. [158], reporting little significant P diffusion at 600°C.

Indium
Following Duffy et al. [159], indium is swept by the recrystallization front. The diffusivity in
a-Si has been calibrated to [Arr 1.0 2.57]. The Arrhenius energy of the diffusivity
(2.57 eV) is slightly smaller than the Arrhenius energy of the recrystallization velocity
(2.68 eV). As a consequence, the snow plow effect for indium depends on temperature. The
lower the temperature of SPER, the more indium is pushed towards the surface (see
Figure 16).

Advanced Calibration for Process Simulation User Guide 107


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 4: Comprehensive and Slow Models

Figure 16 Indium redistribution toward the surface during SPER at 550°C, 600°C, and 800°C;
the snow plow effect is strongest if SPER is completed at the lowest temperature,
and SIMS data is taken from [159]

800°C, 1 s
19 600°C, 2 minutes
10
550°C, 10 minutes
as-implanted
Indium Concentration [cm−3]

SIMS

1018

1017

1016
0 50 100
Depth [nm]

Carbon
Carbon is swept by the recrystallization front, and the diffusivity has been calibrated to agree
with SIMS.

Fluorine
Following the literature [152][160], fluorine is swept by the recrystallization front. For the
diffusivity of F in a-Si, an Arrhenius energy of 2.6 eV has been selected, which is at the
upper end of possible values reported by Nash et al. [161].

Germanium
Germanium is assumed to diffuse in amorphized regions. For deep amorphization of Si/
SiGe layers, the diffusion of Ge during SPER can result in significant broadening of the Ge
profile.

Advanced Calibration for Process Simulation User Guide 108


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 4: Comprehensive and Slow Models

Melting Laser Anneal


See section 4.11 of AdvCal_2024.[Link].
Melting laser anneal (MLA) uses an extremely short (submicrosecond) annealing time and
achieves very high (almost 100%) levels of dopant activation and a low defect concentration
in recrystallized molten regions. Therefore, MLA is ideal for backside processing without
affecting the already existing front side of a thinned structure as in modern discrete power
IGBTs [162][163] or backside imagers [164].
A phase field model for MLA has been implemented based on [165]. The phase field
variable HeatPhase () describes whether the material is liquid (=0) or solid (=1). Since
the melting or solidification process occurs too quickly to observe the dopant diffusion in a
solid region, it is assumed that the dopant atoms diffuse mostly in the liquid phase. The
different chemical potentials of dopants at liquid, solid, and boundary regions induce
segregation effects that are taken into account by the model. During MLA, the temperature
varies greatly depending on location. Therefore, the dopant diffusion equation must be
solved by coupling it to the heat equation and the phase equation. The heat generation rate
G in the heat equation is calculated from the laser intensity profile. Dopant diffusion C  t
is coupled to the local temperature and depends on the diffusivity D, where D is a function
of the phase field variable  that follows from the phase equation.
In the beginning of a laser anneal, all dopants and point defects are initialized in the standard
way using the diffPreProcess procedure according to the models selected. Then, during
melting, all point defects and clusters are dissolved in the liquid phase. The point defect and
cluster solutions are reset to zero in a molten region during diffusion, which implies that all
dopants in a liquid (and subsequently recrystallized) region are fully activated. The instant
recrystallization of an amorphous region, that is, the initialization of cluster solutions, is
performed before diffusion, but information about the implanted damage is taken into
account for calculating the degree of structural disorder  (degree of amorphization), which
then affects the thermodynamic properties of solid material. In practically all cases, molten
silicon solidifies as crystalline (single or poly, depending on the substrate), regardless of
whether it was amorphous or crystalline before melting. This is taken into account by a
special equation for , which gradually reduces to zero upon melting.

Using AdvancedMLAModel for MLA Simulation


The procedure AdvancedMLAModel is part of the Advanced Calibration file to set parameters
necessary for MLA simulations. In addition, the procedure contains some parameters that
are already default but can be used as references for calibration. This procedure is not
activated by default and must be called before the diffuse command corresponding to the
MLA. Typically, MLA is applied at the end of a process simulation; however, when it is
followed by other anneal steps, you need to restore some critical defaults that were changed
in the AdvancedMLAModel procedure. In such cases, the AdvancedMLAModelReset
procedure must be run after the MLA diffuse command.

Advanced Calibration for Process Simulation User Guide 109


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 4: Comprehensive and Slow Models

Equipment-specific settings (such as light absorptivity, laser intensity model, and laser pulse
intensity shape) and settings for the surface reflectivity are not included in
AdvancedMLAModel and, therefore, must be specified in the input file explicitly.

The following commands provide an example of such settings as they might occur in 1D
process simulations of MLA of SiGe, in which heat generation is calculated with the energy
implantation method. In the example, the laser intensity as a function of pulse time is loaded
from a file and scaled by the fluence and by (1 – reflectivity). The reflectivity is higher for
melted Si than for solid Si. For simplicity, in this example, the same reflectivity is assumed
for Si and Ge, and therefore for SiGe:
AdvancedMLAModel ;# set params for MLA simulation

pdbSet Ge Absorptivity [expr 1.46e6] ;# 0.146/nm


pdbSet Si Absorptivity [expr 1.46e6] ;# 0.146/nm

pdbSet Heat [Link] Table ;# intensity from a table


source ./[Link] ;# file with normalized pulse shape
set fluence 2.0 ;# energy density in J/cm2

set Phase2nm "\[interpolate Si name= HeatPhase x=0.002\]"


;# phase at depth 2 nm
set TempK1nm "\[interpolate Si name= Temperature x=0.001\]"
;# T [K] at depth 1 nm
pdbSet Heat [Link] "\[expr $fluence * \
(1 - ($Phase2nm * (0.655 + 4e-5*$TempK1nm) + (1-$Phase2nm) * \
0.774)) \]"

pdbSet Heat WaferThickness 400

Note:
A TCAD Sentaurus project facilitates the use of the MLA model. The project
demonstrates the simulation of MLA backside processing integrated in a 2D IGBT
process simulation [166].

In MLA simulations for devices on germanium substrates (instead of silicon substrates), add
the following statement:
pdbSet Heat BulkMaterial Germanium

In 2D or 3D simulations of MLA with nonplanar geometry, accurate photon absorption can


be calculated with Sentaurus Device Electromagnetic Wave Solver, as described in the
Sentaurus™ Process User Guide. In Sentaurus Device Electromagnetic Wave Solver,
melted silicon is best treated as a dispersive medium.

Seed Term
The phase field model describes the movement of the solid–liquid interface. In the absence
of such an interface, to start the melting of a solid region, a seed term is used in the equation

Advanced Calibration for Process Simulation User Guide 110


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 4: Comprehensive and Slow Models

for HeatPhase. The seed term is switched on when the value of HeatPhase is equal to or
greater than the value of the parameter SeedOnPhase everywhere in the structure. The
default value of SeedOnPhase is 1.0. Therefore, by default, the seed term is switched on only
when the entire region is solid, typically at the beginning of MLA. The seed term remains
switched on until HeatPhase is less than the value of the parameter SeedOffPhase (default:
0.001) somewhere in the structure.
The derivation of the phase field model does not include material interfaces explicitly.
Therefore, this model does not describe the physics precisely when the solid–liquid interface
reaches region boundaries during solidification. In its present formulation, the
recrystallization rate is overestimated in the very last moment of recrystallization, when the
solid–liquid interface reaches the interface to a different material, not subject to melting. This
is accompanied by a very short (< 1 ps) nonphysical spike in temperature near the surface
of the silicon at the end of recrystallization.
In Version P-2019.03 and earlier versions of AdvancedMLAModel, this temperature spike
was suppressed (at least in 1D simulations) by switching on the seed term again at the end
of recrystallization, by setting SeedOffPhase < SeedOnPhase < 1.0. However, this
sometimes would lead to poor convergence and frequent switching between seed on and
seed off at the end of recrystallization. Therefore, in the new calibration, this approach is no
longer used, and SeedOnPhase is set to 1.0. The temperature spike is so short that it
typically has no impact on the simulation of dopant diffusion and activation.
The seed term is scaled by [Link], which is 0.2 by default. You can use more
sophisticated definitions of [Link] to switch off the seed term slowly instead of
abruptly, or to make the seed term largest near the surface. For example, in 1D simulations,
you can use:
pdbSet Heat [Link] {[expr (0.4*exp(-x/0.007)* \
(\[interpolate Si name= HeatPhase x=0.001\] > 0.4 ? 1 : \
\[interpolate Si name= HeatPhase x=0.001\] / 0.4 ))] }

Here, x is the x-coordinate, and [Link] is reduced smoothly when HeatPhase near
the surface takes values smaller than 0.4.

Settings in AdvancedMLAModel
AdvancedMLAModel switches off interstitial clusters together with interstitial and vacancy
transport equations with the following commands. This reduces the simulation time for
typical MLA simulations:
pdbSet Si Int ClusterModel None
pdbSetBoolean Defect ForcedTurnOff 1

You can solve equations for point defects and point-defect clusters during MLA, but no
calibration has been performed for typical MLA time scales.

Advanced Calibration for Process Simulation User Guide 111


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 4: Comprehensive and Slow Models

To solve equations for point defects and point-defect clusters, insert the following
commands directly after calling AdvancedMLAModel:
pdbSet Si Int ClusterModel [pdbGet Si Int SavedClusterModel]
pdbUnSetBoolean Defect ForcedTurnOff
pdbSetBoolean Defect ForcedTurnOn 1

The following settings ensure steady numeric convergence in the MLA model:
pdbSet Math NegErrCntrl 1
math fullNewton

The accurate simulation of MLA requires the use of very small time steps during critical parts
of the annealing. The following commands in AdvancedMLAModel control the time steps:
pdbSet Heat MaxTimeStep 1.0e-8
pdbSet Si HeatPhase [Link] 1.0e-4
pdbSet Si HeatPhase [Link] 1.0e-5
pdbSet Si HeatPhase [Link] 1.0e-5
pdbSet Si Temperature [Link] 5.0e-3
pdbSet Si Temperature [Link] 5.0e-6
pdbSetDouble Si Temperature [Link] 2.0e-5
pdbSetDouble Si Dopant [Link] 1.0e-3
pdbSetDouble Si Dopant [Link] 1.0e-8
pdbSetDouble Si Dopant [Link] 1.0e-5

These values are often a good compromise for CPU time and numeric accuracy. To increase
the precision, you can reduce the allowed error in the temperature equation. For example:
pdbSet Si Temperature [Link] 1.0e-3
pdbSet Si Temperature [Link] 1.0e-6
pdbSetDouble Si Temperature [Link] 3.0e-6

In contrast, to speed up MLA simulations, consider relaxing the allowed error for dopants.
For example:
pdbSetDouble Si Dopant [Link] 3.0e-5

You can also take a more sophisticated approach and change the value of
[Link] during MLA. You can do this by using the argument movie of the
diffuse command. For example:
pdbSetDouble Si Dopant [Link] 5e-4

diffuse temperature=500 time=5.0e-7<s> laser \


movie= { \
if { [simGetDouble Diffuse time] > 6e-8 } { \
pdbSetDouble Si Dopant [Link] 2e-5 \
} }
Such an approach can be used to allow for a larger error in the dopant profiles during the
melting, and then you can use a stricter error control during recrystallization.

Advanced Calibration for Process Simulation User Guide 112


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 4: Comprehensive and Slow Models

Meshing
The thickness  of the interface region between the solid phase and the liquid phase is
governed by the parameter [Link]. It is important that the same value is used
for Si and Ge:
pdbSet Si [Link] 2.0e-7
pdbSet Ge [Link] 2.0e-7 ;# 2 nm

Mesh spacing in the molten region must always be smaller than [Link] to
reach proper convergence. A larger mesh spacing results in a faster simulation and less
noise. Larger values of [Link] can speed up convergence even for a fixed
mesh spacing. The simulated dopant distribution depends on both mesh spacing and
[Link].

For an equidistant 1D mesh, the simulation results are almost the same for all mesh spacing
less than [Link]. For an inhomogeneous 1D mesh, the simulated melting front
speed changes when the solid–liquid interface reaches the region of mesh inhomogeneity,
unless the maximum mesh spacing is smaller than [Link]/8. A mesh finer than
[Link]/8 might result in a larger CPU time. This basically means that changes
to the 1D mesh spacing are allowed only if the background mesh is finer than
[Link]/8. Therefore, an equidistant mesh should be used in the melting region
whenever possible.
Similarly, in 2D and 3D simulations, the mesh spacing perpendicular to the solid–liquid
interface should be smaller than [Link] in the region that is subject to melting.
In 3D simulations, it might be advantageous to increase the value of [Link] in
Si and Ge from 2.0e-7 (default) to 2.5e-7 or 3.0e-7. This lowers the requirements for a good
mesh and has a positive impact on simulation robustness and CPU time. However, it also
has a small impact on results and, therefore, you should do this before any fine-tuning of the
calibration of the diffusion of dopants or Ge atoms during MLA.

Thermodynamics of Silicon, Germanium, and SiGe


The thermal conductivity of crystalline Si and crystalline Ge has been calibrated as a
function of temperature from published experimental data [167][168][169].
For crystalline SiGe, thermal conductivity depends on the Ge mole fraction and is
approximately an order of magnitude smaller than for pure Si or pure Ge, because of alloy
scattering of phonons. The alloy scattering contribution to thermal resistivity has been
calibrated as a compromise for experimental data from [168][170][171][172][173].
For a-Si and a-Ge, thermal conductivity is set to 0.018 W/(cm·K), which is in reasonable
agreement with the values measured by Zink [174] and He [175] for Si, and the values
reported for Ge by Szyszko [176].

Advanced Calibration for Process Simulation User Guide 113


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 4: Comprehensive and Slow Models

For liquid silicon (l-Si) and liquid germanium (l-Ge), thermal conductivity has been calibrated
against data published by Yamasue [169]. The MLA model does not explicitly take into
account the density change from 2.33 g/cm3 (solid) to 2.57 g/cm3 (liquid), to avoid volume
changes. Therefore, in the definition of [Link] for silicon, you use
a formula corresponding to experimental data [169] multiplied by the factor 2.57/2.33.
The thermal conductivity of SiO2 and SiN has been chosen to give a reasonable agreement
to data from Ryningen [177] and Ftouni [178].
For specific heat capacity, the Sentaurus Process defaults are kept for pure Si. These are in
agreement with the publications [174][179][180]. The temperature-dependent value of the
specific heat of amorphous silicon is set to be the same as in crystalline silicon, because
they are close (depending on the exact state of amorphous silicon) [180], and little
experimental data is available. The values for c-Ge and a-Ge were chosen to match data
from Okhotin [181]. Like for Si, it is assumed that a-Ge has the same heat capacity as c-Ge.
For liquid Ge, a temperature-dependent specific heat capacity has been fitted to data
measured by Rhim [182]. Heat capacities of SiO2 and SiN have been extracted from JANAF
tables [183].
For the latent heat and the melting temperature of Si, a-Si, Ge, and a-Ge, the Sentaurus
Process defaults are used. For simplicity, the temperature dependence of the latent heat is
ignored at the moment. For the melting temperature of c-SiGe, the parabolic interpolation is
based on the solidus curve published by Stöhr [184]. For the melting point of amorphous
SiGe, the same bending in the parabolic interpolation as for crystalline SiGe is assumed.
The Vogel–Fulcher model is recommended for solid–liquid interface velocity simulations.
The values of its parameters were set to obtain the maximum solid–liquid interface velocity
of 15 m/s and 25 m/s for crystalline and amorphous silicon, respectively, as reported in
[185]. For germanium, the same interface velocities are used as for Si. Since the melting
temperature of Ge is smaller than that of silicon, a smaller value for the reference
temperature of the Vogel–Fulcher model is defined for Ge.
Interface mobility is set to 20 cm/(J·s) for c-Si, a-Si, c-Ge, and a-Ge. It is important that
identical values are used in these materials. In planar structures, interface mobility
determines how fast the phase field establishes its values in the interface region between
the solid phase and the liquid phase. For 1D simulations, results are not very sensitive to this
parameter. However, in 2D and 3D simulations, interface mobility has a large impact on the
time evolution of the phase field when the solid–liquid interface is curved and the area of the
solid–liquid interface might change during melting and recrystallization, especially at the
corners and edges of the structure. With the previous value of interface mobility, 600 cm/
(J·s) taken from [186], it was observed that recrystallization was stuck in 2D geometries, at
corners where a narrow region of crystalline silicon is covered by a broader region of melted
silicon.
Even with 20 cm/(J·s), due to the energy associated with surface tension between solid and
liquid Si, recrystallization might sometimes be stuck at regions where further
recrystallization would require a sharp increase of the area of the solid–liquid interface. In

Advanced Calibration for Process Simulation User Guide 114


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 4: Comprehensive and Slow Models

such a situation, you can still achieve recrystallization by lowering the surface tension or by
lowering the parameters for [Link]. For example:
pdbSet Si [Link] 6 ;# default is 20
pdbSet Si [Link] 6
pdbSet Ge [Link] 6
pdbSet Ge [Link] 6

Silicon Absorptivity
The recommended value of the light absorptivity in silicon for a 308 nm laser can be set by:
pdbSet Si Absorptivity 1.46e6 ;# (cm-1)

An absorptivity of 1.46e6 cm–1 corresponds to the liquid silicon and is close to the value for
crystalline silicon at room temperature [187]. It increases for large temperatures, but since
the value 1.46e6 is already sufficiently large, increasing absorptivity further does not greatly
influence the melting dynamics. Therefore, the above constant value is recommended for
the simulation of a 308 nm laser. In principle, any temperature- and phase-dependent
expression can be used for absorptivity, which then becomes time dependent. In that case,
the following flag must be switched on to account for this:
pdbSet Heat UpdateHeatRate 1 ;#default 0

The optical properties of monocrystalline silicon at room temperature for wavelengths from
234 nm to 840 nm can be found in [188].
Note:
For photons with energy smaller than the direct band gap of Si (for example, for
532 nm wavelength), absorptivity increases strongly with temperature. You must
ensure a proper definition of absorptivity. If you use Sentaurus Device
Electromagnetic Wave Solver for optical calculations, then you can define an
extinction coefficient that depends on the local temperature.

MLA Calibration
The MLA model was calibrated in the framework of the ATEMOX project [189] to
experimental results of the Excico UV 308 nm laser with a pulse duration of 150–200 ns.
The laser irradiation area was approximately 1 cm2 (full chip) per shot. Only flat silicon
wafers with native oxide were used; therefore, the use of 1D simulation is fully justified in
these cases. No structures with surface amorphization were considered; therefore, the
resulting parameters are reliable only for MLA of crystalline silicon.

Advanced Calibration for Process Simulation User Guide 115


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 4: Comprehensive and Slow Models

The calibration consisted of two stages:


• Calibration of melting dynamics to fine-tune the melting depth and the melting duration
using temperature- and phase-dependent reflectivity of the wafer surface. Since
reflectivity for the main pulse wavelength (308 nm) was not measured directly, it was the
main calibration parameter for the melting depth in this model. It was entered into the
parameter Heat [Link], which scales the intensity of laser radiation
depending on the temperature and the phase of the exposed silicon surface. For the
silicon covered with only thin native oxide, it has the following form:
pdbSet Heat [Link] "\[expr $fluence*(1- \
($SurfPhase*(0.575+4e-5*$SurfTempK)+(1-$SurfPhase)*0.78))\]"

where:
◦ $fluence is the laser energy density (in the case of [Link] normalized to
1 J/cm2).
◦ $SurfPhase is the current phase of the silicon near the surface (it can vary between
0 for the liquid state and 1 for the solid state).
◦ $SurfTempK is the silicon surface temperature.

Emphasis was placed on keeping the surface reflectivity similar between different
experiments and close to the values suggested in the calibration performed by other
ATEMOX partners earlier.
• Calibration of dopant diffusion in the liquid phase and its segregation at the solid–liquid
interface was performed for boron and phosphorus. First, values of [Link].E
were set according to experimental equilibrium partition coefficients of dopants from
[190]. Second, the dopant diffusivities and interface chemical potentials were set to
obtain the best visual fit of simulated profiles to SIMS profiles. Interface diffusivities
(Dils.0) were set to a small value (5×10–7 cm2/s for B and 10–9 cm2/s for P) to avoid the
formation of an artificial notch at the maximum melting depth position. No temperature
dependency was set for the diffusivities because available data could be fitted without it.
Figure 17 shows the results of the calibration for boron, and Figure 18 shows the results of
the calibration for phosphorus.
The SIMS profiles were provided to Synopsys within the ATEMOX project (most profiles are
also published). The results and parameters for boron are qualitatively similar to the ones
obtained in [191]. Note that SIMS profiles are usually more smooth (and their peaks are
shifted in depth by several nanometers) than simulated dopant profiles after MLA.
This is caused by inaccuracy of the SIMS data (see the comparison of raw and convolved
simulated profiles in [191]). The calibration for phosphorus was based on data from [192].

Advanced Calibration for Process Simulation User Guide 116


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 4: Comprehensive and Slow Models

Figure 17 Comparison of simulated B profiles with SIMS profiles [191] for different laser
energies (Elas); the same "200 ns" laser pulse shape was used for all simulated
Elas shown
B 3 keV, 3e13 cm−2, tilt=7, rot=23; 200 ns laser pulse (Excico)
R = SurfPhase * (0.585 + 4e-5 * SurfTempK) + (1 − SurfPhase) * 0.72

SIMS Elas = 2.35 J/cm2


1020 SIMS Elas = 2.05 J/cm2
SIMS Elas = 1.95 J/cm2
Simulation: as-implanted
Boron Concentration [cm−3]

Simulation Elas = 2.26 J/cm


1019 Simulation Elas = 2.05 J/cm
Simulation Elas = 1.95 J/cm

1018

1017

0 50 100 150
Depth [nm]

Figure 18 Comparison of simulated P profiles with SIMS profiles [192]; the same "180 ns"
laser pulse shape was used for all simulated laser energies (Elas) shown
P 200 keV, 1e14 cm−2, tilt=7, rot=23, 180 ns laser pulse (Excico)
R = SurfPhase * (0.536 + 4e-5 * SurfTempK) + (1 − SurfPhase) * 0.8
Phosphorus Concentration [cm−3]

SIMS as-implanted
1018
SIMS Elas = 3.55 J/cm2
SIMS Elas = 3.00 J/cm2
SIMS Elas = 2.56 J/cm2
SIMS Elas = 2.11 J/cm2
Simulation Elas = 3.55 J/cm2
Simulation Elas = 3.00 J/cm2
Simulation Elas = 2.56 J/cm2

17
Simulation Elas = 2.11 J/cm2
10

0 100 200 300 400


Depth [nm]

Advanced Calibration for Process Simulation User Guide 117


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 4: Comprehensive and Slow Models

MLA Calibration for SiGe


SiGe is typically modeled as silicon with a high Ge concentration. For melting laser anneals
of SiGe, two aspects are important:
• Material parameters differ from pure Si. While many parameters can be well
approximated by interpolation of values from corresponding values for Si and Ge, the
thermal conductivity of SiGe is much smaller than the thermal conductivity of pure Si or
pure Ge, because of alloy scattering. This leads to slower dissipation of heat and,
thereby, to faster melting of SiGe. The reduced thermal conductivity of SiGe is captured
by the calibrated parameters.
• Ge itself is redistributed during melting and subsequent recrystallization. This is
illustrated in Figure 19. The segregation of Ge at the interface between solid and liquid
SiGe has been calibrated against data published by Dagault [193].
To switch on Ge redistribution during MLA, the following commands are included in the
procedure AdvancedMLAModel:
solution name= Germanium ifpresent= Germanium !negative Heat store
pdbSetString Si Ge InitHeatProc InitLiquidDopant
pdbSetString Si Ge EquationHeatProc DopantLiquidBulk

Figure 19 Calculated Ge concentration in Si with a SiGe epitaxial layer on top, before and after
MLA with different fluence. The simulation was performed with a 160 ns laser pulse
and an absorptivity of 1.46×106 cm–1. Results are very close to SIMS data for
similar process conditions, published by Dagault [193].

1.5e+22 before MLA


1.59 J/cm2
Ge Concentration [cm−3]

1.80 J/cm2
1.90 J/cm2
1e+22 2.00 J/cm2
2.20 J/cm2
2.40 J/cm2

5e+21

0
0 0.02 0.04 0.06 0.08
Depth [µm]

Advanced Calibration for Process Simulation User Guide 118


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 5: Accelerating Simulations for Power Technologies

Limitations of MLA Model


The MLA model provides good simulation results for dopant redistribution during the melting
process and dopant activation in the recrystallized region for typical quasi-1D cases. The
following limitations remain in the model:
• MLA has not been calibrated for structures with amorphous regions. Amorphous Si has
a lower melting temperature than solid Si. Immediately after melting, amorphous Si can
be considered undercooled liquid Si, which can quickly recrystallize to poly-Si. In
addition, the latent heat of amorphous Si is smaller than the latent heat of crystalline Si.
Upon melting of a-Si and subsequent recrystallization as poly-Si, this difference in latent
heat is released and might cause melting of additional a-Si in deeper regions. This might
lead to an explosive crystallization as reported by Thompson [194]. It can, in principle, be
described by the MLA model, but the dynamics of this process have not yet been
calibrated. Only if the thermal budget of the MLA is sufficient to entirely melt an
amorphous region and a few nanometers of crystalline Si below, you can expect
recrystallization as a high-quality single crystalline layer and an accurate description by
the MLA model.
• In 2D and 3D structures, the MLA model does not describe the possible change of the
device geometry during melting and recrystallization. It is assumed that the shape of all
regions is unchanged upon melting and recrystallization, which might not always be the
case in actual processing.
• Only simple diffusion equations for dopants are coupled with the heat equation. For the
remainder (such as complex clusters), only a constant temperature is supported. This
impedes the simulation and calibration of dopant activation and defect annealing in the
solid phase during MLA. Therefore, a dedicated calibration of the electrical activation of
dopants immediately below the melted surface-near region has not yet been performed,
that is, the simulated dopant activation and defect annealing in the solid phase during
submicrosecond MLA might be inaccurate.

Part 5: Accelerating Simulations for Power Technologies


Part 5 of AdvCal_2024.[Link] is designed for the needs of process simulations for various
power devices made of silicon such as LDMOS, VDMOS, IGBT, and superjunction
MOSFET.
For many power devices, process simulation is time consuming because many mesh points
are needed for a proper discretization of the simulation domain and because a high number
of thermal anneals and oxidations is used in the fabrication process.

Advanced Calibration for Process Simulation User Guide 119


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 5: Accelerating Simulations for Power Technologies

In addition, for many power devices, from the simulation perspective, the process simulation
can be divided into two parts:
• In the first part of process simulation, the concentration of dopants is small (far below
solid solubility), and the overall thermal budget for thermal annealing and oxidation is
large.
• In the second part of process simulation, typically associated with the formation of
electrical contacts, the dopant concentration can be very high (above solid solubility),
and the thermal budget for thermal annealing after implantation is small or moderate.
In these devices, usually the first part of the process simulation consists of the majority of
time-consuming process steps (in particular, oxidation steps). However, the CPU time spent
for the corresponding process simulation can be reduced significantly by taking advantage
of the low dopant concentration and high thermal budgets. To speed up the first part of the
process simulation, you can use simpler models and simpler settings than those used by the
default Advanced Calibration.
For the second part of the process simulation (typically, starting with the first high-dose
dopant implantations into silicon), it is recommended to use the full set of standard
Advanced Calibration models to obtain accurate results for dopant transient-enhanced
diffusion and activation.
Part 5 of AdvCal_2024.[Link] contains the procedures AdvancedPowerDeviceMode and
AdvancedPowerDeviceModeReset, which are designed to reduce CPU time for process
simulation of power devices without sacrificing simulation accuracy. The procedure
AdvancedPowerDeviceMode switches on settings for fast process simulation of the first part
of power processes. The procedure AdvancedPowerDeviceModeReset reverts to the
standard settings of Advanced Calibration for accurate simulation of dopant diffusion and
activation in the second part of power processes.
Using AdvancedPowerDeviceMode in the first part of the process simulation and reverting to
the standard models with AdvancedPowerDeviceModeReset for the second part of the
process simulation typically result in a reduction of total process simulation CPU time by 20–
40%, depending on the process flow.
In many applications, the simulation results do not change significantly when compared to
simulations where Advanced Calibration standard models are used for the complete
process flow. However, as a check, run a reference simulation without
AdvancedPowerDeviceMode at least once. If results with and without
AdvancedPowerDeviceMode are identical, then you can proceed. Otherwise, it is better not
to use AdvancedPowerDeviceMode or to take measures to minimize the impact of the
corresponding speedup on process simulation results. For example, use
AdvancedPowerDeviceMode only for a reduced number of initial process steps, or set tighter
controls for time-stepping and numeric accuracy.

Advanced Calibration for Process Simulation User Guide 120


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 5: Accelerating Simulations for Power Technologies

Using AdvancedPowerDeviceMode
This section discusses how to use the AdvancedPowerDeviceMode procedure.

Variant 1: Explicitly Reverting to Standard Models


The procedure AdvancedPowerDeviceMode is called without arguments immediately after
the AdvancedCalibration command line. The AdvancedPowerDeviceModeReset
procedure is called when the first part of the process simulation (with low doping
concentration in silicon) is completed and the second part of process simulation starts, for
example, with high-dose (dose > 1014 cm–2) implantations for contact regions.
To select the models and parameters, the process simulation input file contains the following
flow of commands:
AdvancedCalibration 2024.03
AdvancedPowerDeviceMode
source ./[Link]
... ;# first part of process
AdvancedPowerDeviceModeReset
... ;# second part of process

You can validate whether the acceleration or the process simulation with
AdvancedPowerDeviceMode is justified by comparing the CPU time and simulation results
to reference simulations, in which the lines AdvancedPowerDeviceMode and
AdvancedPowerDeviceModeReset are commented out.

Variant 2: Automatically Reverting to Standard Models


The procedure AdvancedPowerDeviceMode is called with an argument <value>, which is
interpreted as a dose. Sentaurus Process calls the AdvancedPowerDeviceModeReset
procedure automatically at the first implantation with dose > <value> during the implantation
preprocessing. A typical value of the argument is 1014.
The process simulation input file contains the following flow of commands:
AdvancedCalibration 2024.03
AdvancedPowerDeviceMode 1e14
source ./[Link]
... ;# first part of process
... ;# second part of process

This variant is convenient for TCAD beginners. Apart from ease of use, automatically
reverting to the standard models has no advantage. In some use cases, it does not give the
best results, and the first variant is preferred.

Advanced Calibration for Process Simulation User Guide 121


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 5: Accelerating Simulations for Power Technologies

Use cases, where the first variant is preferred, include:


• Processes in which the first high-dose implantation is not intended to enter the silicon
region of the device. For example, if the entire device is covered by polysilicon or
photoresist, it might not be necessary to revert to the standard Advanced Calibration
models at the first high-dose implantation.
• Processes in which the first high-dose implantation (dose > <value>) is part of a series
of implantations. Here, the procedure AdvancedPowerDeviceModeReset must be called
before the first implantation of that series, even if it is a low-dose implantation.

Contents of AdvancedPowerDeviceMode
Synopsys has investigated the simplifications contained in AdvancedPowerDeviceMode
based on a large set of various power-device simulation projects. Besides simplified
physics, the simplifications also include appropriate parameters for time-stepping and
numeric solvers.

Increased Time Steps, Deposition Steps, and Temperature Steps


AdvancedPowerDeviceMode includes the lines:
# Numerics: Time steps
pdbSetDouble Diffuse InitTimeStep 1.0e-3 ;# default 1.0e-4
pdbSet Diffuse IncreaseRatio 4.0 ;# default 2.0
pdbSet Diffuse ReduceRatio 0.20 ;# default 0.25
pdbSet Math [Link] Linear ;# default Damped

# Numerics: Deposition steps


pdbSet Diffuse dThickness 0.001 ;# default 0.001;
;# 0.002 is faster
;# but less robust

pdbSet Diffuse dThicknessEpi 0.50 ;# default 0.1

# Numerics: Temperature steps


pdbSet Diffuse MaxGrowthStep 50.0 ;# default 4.0
pdbSet Diffuse delT 50.0 ;# default 10
pdbSet Diffuse delNT 50.0 ;# default 50
pdbSet Diffuse delTox 50.0 ;# default 50

These lines increase the time steps, the deposition steps, the oxidation time steps
(MaxGrowthStep), and the temperature steps compared to the default values of Advanced
Calibration for Sentaurus Process.
The values are a good trade-off between simulation robustness (where small time steps
help to eliminate noise from numeric errors and, in particular, to keep interfaces between
oxide and silicon smooth during oxidation) and CPU time, where large time steps are

Advanced Calibration for Process Simulation User Guide 122


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
Part 5: Accelerating Simulations for Power Technologies

favorable. To further speed up power-device process simulation, it is useful to test at least


once for each technology if the parameters InitTimeStep and dThickness can be
increased without loss of simulation robustness. According to Synopsys’ experience, in
some power technologies, you can further reduce process simulation CPU time by an
additional 20–40% by increasing InitTimeStep from 1.0e-3 to 2.0 and dThickness from
0.001 to 0.002, without affecting simulation robustness or results.

In rare cases, the simulation robustness or numeric accuracy during a critical oxidation step
might be insufficient with these settings. This might be evident when comparing simulation
results with and without AdvancedPowerDeviceMode. In such situations, choose one of the
following options:
• Set tighter numeric controls manually. For example, specify:
pdbSet Diffuse MaxGrowthStep 5

• Revert to the Advanced Calibration standard models before that oxidation step by
specifying AdvancedPowerDeviceModeReset.

Simplified Physics
For low dopant concentrations (<< solid solubility), you can save CPU time by switching off
the equations for dopant clusters. In AdvancedPowerDeviceMode, all dopants are made
electrically active by setting ActiveModel to None.
For very high thermal budgets, typical for oxidation steps used in the first part of
power-device process simulations, the TED of dopants caused by interstitials created during
ion implantation can be neglected compared to regular diffusion of dopants. In
AdvancedPowerDeviceMode, TED is suppressed by the line:
pdbSetBoolean AdvCal_IFactorsVFactorsZero 1

When AdvCal_IFactorsVFactorsZero is set to 1, the generation of interstitials or


vacancies by ion implantation is suppressed (as implemented in section 3.3.1 of
AdvCal_2024.[Link]). In the absence of TED, no equations need to be solved for
interstitial clusters. In AdvancedPowerDeviceMode, the ClusterModel for interstitials is set
to None. In addition, the parameters [Link], [Link], and [Link]
for point defects are increased with respect to their default values, to allow for larger time
steps in the diffusion solver.

Speedup Methods Not Included in AdvancedPowerDeviceMode


Synopsys tested other simplifications of physical models, but they were not found to be
helpful in all application cases. None of them is included in AdvancedPowerDeviceMode.

Advanced Calibration for Process Simulation User Guide 123


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
References

Some comments about most of the simplifications are:


• Use the simpler ChargedFermi model for dopant diffusion instead of the ChargedPair
model.
With this choice, the simulation of OED becomes very inaccurate. Using the
ChargedFermi model saves CPU time (typically ~20%) and is suitable for selected
technologies, but it is not recommended in general.
• Use the ChargedFermi model and – in addition – switch off the point-defect equations
completely by setting the parameter ForcedTurnOff to 1.
With this choice, OED is completely suppressed. Diffusion of B and P during oxidation is
severely underestimated. This choice is not recommended.
• Use local charge neutrality instead of the Poisson equation, by adding the line
pdbSetBoolean Silicon Potential Poisson 0.

This reduces the number of equations to be solved by one. However, it is often


detrimental to convergence. Therefore, in most applications, it increases the CPU time.
• Switch off equations in polysilicon.
Usually, this has no impact on the CPU time.
• Use the Segregation model instead of the ThreePhaseSegregation model for dose
loss of As, B, and P.
Usually, this has no impact on the CPU time.
The biggest potential to further accelerate accurate process simulation for power devices is
to define a good meshing strategy and parameters for (adaptive) meshing. Since a good
mesh depends on the details of the technology, meshing strategies and parameters for
adaptive meshing are not included in AdvancedPowerDeviceMode. A method for creating
good meshes for power-device process simulation is presented in Meshing on page 195.

References
[1] P. Pichler, Intrinsic Point Defects, Impurities, and Their Diffusion in Silicon,
Computational Microelectronics, Vienna: Springer, 2004.
[2] C. S. Rafferty et al., “Simulation of cluster evaporation and transient enhanced
diffusion in silicon,” Applied Physics Letters, vol. 68, no. 17, pp. 2395–2397, 1996.
[3] IST Project 027152 ATOMICS, Advanced Front-End Technology Modeling for
Ultimate Integrated Circuits, for more information, go to https://
[Link]/content/dam/iisb2014/en/Documents/Research-Areas/
Simulation/[Link].

Advanced Calibration for Process Simulation User Guide 124


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
References

[4] A. Tsibizov, A. Terterian, and C. Zechner, “Influence of Poisson equation boundary


conditions and quantum corrections to carrier concentrations at material interfaces in
TCAD process simulation,” Physica Status Solidi C, vol. 11, no. 1, pp. 101–104, 2014.
[5] S. Strauss et al., “Analytic model for ion channeling in successive implantations in
crystalline silicon,” Materials Science and Engineering B, vol. 124–125, pp. 376–378,
December 2005.
[6] H. Bracht, N. A. Stolwijk, and H. Mehrer, “Equilibrium Concentrations of Intrinsic Point
Defects in Silicon Determined by Zinc Diffusion,” in Proceedings of the Seventh
International Symposium on Silicon Materials Science and Technology
(Semiconductor Silicon), vol. 94-10, San Francisco, CA, USA, pp. 593–602B, May
1994.
[7] H. Bracht, E. E. Haller, and R. Clark-Phelps, “Silicon Self-Diffusion in Isotope
Heterostructures,” Physical Review Letters, vol. 81, no. 2, pp. 393–396, 1998.
[8] A. Ural, P. B. Griffin, and J. D. Plummer, “Self-Diffusion in Silicon: Similarity between
the Properties of Native Point Defects,” Physical Review Letters, vol. 83, no. 17,
pp. 3454–3457, 1999.
[9] N. E. B. Cowern et al., “Energetics of Self-Interstitial Clusters in Si,” Physical Review
Letters, vol. 82, no. 22, pp. 4460–4463, 1999.
[10] B. Colombeau and N. E. B. Cowern, “Modelling of the chemical-pump effect and C
clustering,” Semiconductor Science and Technology, vol. 19, no. 12, pp. 1339–1342,
2004.
[11] P. M. Fahey, P. B. Griffin, and J. D. Plummer, “Point defects and dopant diffusion in
silicon,” Reviews of Modern Physics, vol. 61, no. 2, pp. 289–388, 1989.
[12] M. D. Giles, “Defect-Coupled Diffusion at High Concentrations,” IEEE Transactions on
Computer-Aided Design, vol. 8, no. 5, pp. 460–467, 1989.
[13] I. Bork and H. Matsumoto, “On the Determination of Boron Diffusivities and Boron
Interstitial Pair Binding Energies in Silicon,” in International Conference on Simulation
of Semiconductor Processes and Devices (SISPAD), Tokyo, Japan, pp. 91–92,
September 1996.
[14] J. Vanhellemont, P. Spiewak, and K. Sueoka, “On the solubility and diffusivity of the
intrinsic point defects in germanium,” Journal of Applied Physics, vol. 101, no. 3,
p. 036103, 2007.
[15] J. Vanhellemont et al., “Intrinsic point defect properties and engineering in silicon and
germanium Czochralski crystal growth,” in 5th International Symposium on Advanced
Science and Technology of Silicon Materials, Kona, HI, USA, November 2008.
[16] J. Vanhellemont and E. Simoen, “Brother Silicon, Sister Germanium,” Journal of The
Electrochemical Society, vol. 154, no. 7, pp. H572–H583, 2007.
[17] P. A. Packan and J. D. Plummer, “Temperature and time dependence of B and P
diffusion in Si during surface oxidation,” Journal of Applied Physics, vol. 68, no. 8,
pp. 4327–4329, 1990.

Advanced Calibration for Process Simulation User Guide 125


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
References

[18] P. B. Griffin and J. D. Plummer, “Process Physics Determining 2-D Impurity Profiles
in VLSI Devices,” in IEDM Technical Digest, Los Angeles, CA, USA, pp. 522–525,
December 1986.
[19] E. Napolitani et al., “Silicon interstitial injection during dry oxidation of SiGe/Si layers,”
Journal of Applied Physics, vol. 97, no. 3, p. 036106, 2005.
[20] P. A. Stolk et al., “Physical mechanisms of transient enhanced dopant diffusion in
ion-implanted silicon,” Journal of Applied Physics, vol. 81, no. 9, pp. 6031–6050,
1997.
[21] H. Saleh et al., “Energy dependence of transient enhanced diffusion and defect
kinetics,” Applied Physics Letters, vol. 77, no. 1, pp. 112–114, 2000.
[22] N. Zographos, C. Zechner, and I. Avci, “Efficient TCAD Model for the Evolution of
Interstitial Clusters, {311} Defects, and Dislocation Loops in Silicon,” in MRS
Symposium Proceedings, Semiconductor Defect Engineering—Materials, Synthetic
Structures and Devices II, vol. 994, San Francisco, CA, USA, p. 0994-F10-01, April
2007.
[23] N. Zographos and A. Erlebach, “Process simulation of dopant diffusion and activation
in germanium,” Physica Status Solidi A, vol. 211, no. 1, pp. 143–146, 2014.
[24] E. Napolitani et al., “Transient enhanced diffusion of B mediated by self-interstitials in
preamorphized Ge,” Applied Physics Letters, vol. 96, no. 20, p. 201906, 2010.
[25] L. F. Giles et al., “Transient enhanced diffusion of B at low temperatures under
extrinsic conditions,” Solid-State Electronics, vol. 49, no. 4, pp. 618–627, 2005.
[26] S. Uppal et al., “Diffusion of boron in germanium at 800–900°C,” Journal of Applied
Physics, vol. 96, no. 3, pp. 1376–1380, 2004.
[27] G. Impellizzeri et al., “Role of fluorine in suppressing boron transient enhanced
diffusion in preamorphized Si,” Applied Physics Letters, vol. 84, no. 11, pp. 1862–
1864, 2004.
[28] B. J. Pawlak et al., “Enhanced boron activation in silicon by high ramp-up rate solid
phase epitaxial regrowth,” Applied Physics Letters, vol. 86, p. 101913, 2005.
[29] A. Satta et al., “Diffusion, activation, and recrystallization of boron implanted in
preamorphized and crystalline germanium,” Applied Physics Letters, vol. 87, no.17,
p. 172109, 2005.
[30] B. R. Yates et al., “Anomalous activation of shallow B+ implants in Ge,” Materials
Letters, vol. 65, no. 23–24, pp. 3540–3543, 2011.
[31] Y.-S. Oh and D. E. Ward, “A Calibrated Model for Trapping of Implanted Dopants at
Material Interface During Thermal Annealing,” in IEDM Technical Digest, San
Francisco, CA, USA, pp. 509–512, December 1998.
[32] A. N. Larsen et al., “Heavy doping effects in the diffusion of group IV and V impurities
in silicon,” Journal of Applied Physics, vol. 73, no. 2, pp. 691–698, 1993.

Advanced Calibration for Process Simulation User Guide 126


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
References

[33] S. T. Dunham and C. D. Wu, “Atomistic models of vacancy-mediated diffusion in


silicon,” Journal of Applied Physics, vol. 78, no. 4, pp. 2362–2366, 1995.
[34] S. Brotzmann and H. Bracht, “Intrinsic and extrinsic diffusion of phosphorus, arsenic,
and antimony in germanium,” Journal of Applied Physics, vol. 103, no. 3, p. 033508,
2008.
[35] M. Koike et al., “Diffusion and activation of n-type dopants in germanium,” Journal of
Applied Physics, vol. 104, no. 2, p. 023523, 2008.
[36] C. O. Chui et al., “Germanium n-type shallow junction activation dependences,”
Applied Physics Letters, vol. 87, no. 9, p. 091909, 2005.
[37] S. Koffel et al., “Experiments and simulation of the diffusion and activation of the
n-type dopants P, As, and Sb implanted into germanium,” Microelectronic
Engineering, vol. 88, no. 4, pp. 458–461, 2011.
[38] P. Tsouroutas, D. Tsoukalas, and H. Bracht, “Experiments and simulation on diffusion
and activation of codoped with arsenic and phosphorous germanium,” Journal of
Applied Physics, vol. 108, no. 2, p. 024903, 2010.
[39] B. Sahli et al., “Ab initio calculations of phosphorus and arsenic clustering parameters
for the improvement of process simulation models,” Materials Science and
Engineering B, vol. 154-155, pp. 193–197, December 2008.
[40] A. Chroneos et al., “Vacancy-arsenic clusters in germanium,” Applied Physics Letters,
vol. 91, no. 19, p. 192106, 2007.
[41] R. Kasnavi et al., “Characterization of arsenic dose loss at the Si/SiO2 interface,”
Journal of Applied Physics, vol. 87, no. 5, pp. 2255–2260, 2000.
[42] A. Satta et al., “Diffusion, activation, and regrowth behavior of high dose P implants
in Ge,” Applied Physics Letters, vol. 88, no. 16, p. 162118, 2006.
[43] D. P. Brunco et al., “Germanium: The Past and Possibly A Future Material for
Microelectronics,” ECS Transactions, vol. 11, no. 4, pp. 479-493, 2007.
[44] V. Mazzocchi et al., “Experimental Investigation of the Impact of Implanted
Phosphorus Dose and Anneal on Dopant Diffusion and Activation in Germanium,” in
MRS Symposium Proceedings, Doping Engineering for Front-End Processing,
vol. 1070, San Francisco, CA, USA, p. 1070-E01-08, March 2008.
[45] V. Mazzocchi et al., “Diffusion and activation of Boron and Phosphorus in
preamorphized and crystalline Germanium using ultra fast spike anneal,” in 17th IEEE
International Conference on Advanced Thermal Processing of Semiconductors –
RTP, Albany, NY, USA, September 2009.
[46] P. Tsouroutas et al., “Modeling and experiments on diffusion and activation of
phosphorus in germanium,” Journal of Applied Physics, vol. 105, no. 9, p. 094910,
2009.

Advanced Calibration for Process Simulation User Guide 127


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
References

[47] A. Chroneos et al., “Engineering the free vacancy and active donor concentrations in
phosphorus and arsenic double donor-doped germanium,” Journal of Applied
Physics, vol. 104, no. 11, p. 113724, 2008.
[48] X. Li et al., “Selective Epitaxial Si:P Film for nMOSFET Application: High
Phosphorous Concentration and High Tensile Strain,” ECS Transactions, vol. 64,
no. 6, pp. 959–965, 2014.
[49] J. Dabrowski et al., “Mechanism of dopant segregation to SiO2/Si(001) interfaces,”
Physical Review B, vol. 65, p. 245305, May 2002.
[50] N. Ioannou et al., “Germanium substrate loss during low temperature annealing and
its influence on ion-implanted phosphorous dose loss,” Applied Physics Letters,
vol. 93, no. 10. p. 101910, 2008.
[51] V. C. Venezia et al., “Dopant redistribution effects in preamorphized silicon during low
temperature annealing,” in IEDM Technical Digest, Washington, DC, USA, pp. 489–
492, December 2003.
[52] C. Zechner, D. Matveev, and A. Erlebach, “Phase-field model for the dopant
redistribution during solid phase epitaxial regrowth of amorphized silicon,” Materials
Science and Engineering B, vol. 114–115, pp. 162–165, 2004.
[53] T. Aoyama, H. Tashiro, and K. Suzuki, “Diffusion of Boron, Phosphorus, Arsenic, and
Antimony in Thermally Grown Silicon Dioxide,” Journal of the Electrochemical
Society, vol. 146, no. 5, pp. 1879–1883, 1999.
[54] H. Rücker et al., “Suppressed diffusion of boron and carbon in carbon-rich silicon,”
Applied Physics Letters, vol. 73, no. 12, pp. 1682–1684, 1998.
[55] H. Rücker et al., “Erratum: Suppressed diffusion of boron and carbon in carbon-rich
silicon,” Applied Physics Letters, vol. 75, no. 1, p. 147, 1999.
[56] P. Lavéant et al., “Engineering the diffusion behavior of dopants (B, Sb) in silicon by
incorporation of carbon,” Nuclear Instruments and Methods in Physics Research B,
vol. 186, no. 1–4, pp. 292–297, 2002.
[57] V. Moroz et al., “Optimizing boron junctions through point defect and stress
engineering using carbon and germanium co-implants,” Applied Physics Letters,
vol. 87, p. 051908, August 2005.
[58] B. J. Pawlak et al., “Suppression of phosphorus diffusion by carbon co-implantation,”
Applied Physics Letters, vol. 89, p. 062102, August 2006.
[59] C. Zechner et al., “Modeling Ultra Shallow Junctions Formed by Phosphorus-Carbon
and Boron-Carbon Co-implantation,” in MRS Symposium Proceedings,
Semiconductor Defect Engineering—Materials, Synthetic Structures and Devices II,
vol. 994, San Francisco, CA, USA, p. 0994-F11-17, April 2007.
[60] S. Brotzmann et al., “Diffusion and defect reactions between donors, C, and
vacancies in Ge. I. Experimental results,” Physical Review B, vol. 77, no. 23,
p. 235207, 2008.

Advanced Calibration for Process Simulation User Guide 128


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
References

[61] A. Chroneos, B. P. Uberuaga, and R. W. Grimes, “Carbon, dopant, and vacancy


interactions in germanium,” Journal of Applied Physics, vol. 102, no. 8, p. 083707,
2007.
[62] A. Chroneos et al., “Diffusion and defect reactions between donors, C, and vacancies
in Ge. II. Atomistic calculations of related complexes,” Physical Review B, vol. 77,
no. 23, p. 235208, 2008.
[63] F. J. Morin and J. P. Maita, “Electrical Properties of Silicon Containing Arsenic and
Boron,” Physical Review, vol. 96, no. 1, pp. 28–35, 1954.
[64] B. E. Deal and A. S. Grove, “General Relationship for the Thermal Oxidation of
Silicon,” Journal of Applied Physics, vol. 36, no. 12, pp. 3770–3778, 1965.
[65] A. Ohta et al., “Dry Oxidation of Germanium (100) and (111) Surfaces - Impact of
Oxidation Temperature on Ge Oxide Growth,” in International Conference on Solid
State Devices and Materials (SSDM), Kyoto, Japan, pp. 743–744, September 2012.
[66] W. Ting et al., “Growth kinetics of ultrathin SiO2 films fabricated by rapid thermal
oxidation of Si substrates in N2O,” Journal of Applied Physics, vol. 70, no. 2,
pp. 1072–1074, 1991.
[67] H. R. Soleimani, A. Philipossian, and B. Doyle, “A Study of the Growth Kinetics of
SiO2 in N2O,” in IEDM Technical Digest, San Francisco, CA, USA, pp. 629–632,
December 1992.
[68] G. W. Yoon et al., “Thickness uniformity and electrical properties of ultrathin gate
oxides grown in N2O ambient by rapid thermal processing,” Journal of Applied
Physics, vol. 72, no. 12, pp. 5706–5710, 1992.
[69] H. B. Harrison et al., “Substrate Doping and Orientation Effects on Dielectric Growth
on Silicon in a Nitrous Oxide Environment,” in MRS Online Proceedings Library,
vol. 303, pp. 417–420, 1993 ([Link]
[70] N. Bellafiore, F. Pio, and C. Riva, “Thin oxide nitridation in N2O by RTP for non-volatile
memories,” Microelectronics Journal, vol. 24, no. 4, pp. 453–458, 1993 (https://
[Link]/10.1016/0026-2692(93)90052-G).
[71] S. C. Sun and H. Y. Chang, “Oxidation Simulation and Growth Kinetics of Thin SiO2
in Pure N2O,” in Simulation of Semiconductor Devices and Processes (SISDEP),
vol. 5, Vienna, Austria, pp. 169–172, September 1993.
[72] N. Zographos et al., “Process Modeling of Chemical and Stress Effects in SiGe,” in
19th International Conference on Ion Implantation Technology (IIT), Valladolid, Spain,
pp. 212–216, June 2012.
[73] M. Ogino, Y. Oana, and M. Watanabe, “The Diffusion Coefficient of Germanium in
Silicon,” Physica Status Solidi A, vol. 72, no. 2, pp. 535–541, 1982.
[74] C. Ahn and S. T. Dunham, “Calculation of dopant segregation ratios at semiconductor
interfaces,” Physical Review B, vol. 78, no. 19, p. 195303, 2008.

Advanced Calibration for Process Simulation User Guide 129


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
References

[75] N. E. Cowern, “Diffusion in a Single Crystal within a Stressed Environment,” Physical


Review Letters, vol. 99, p. 155903, October 2007.
[76] N. E. B. Cowern et al., “Overlayer stress effects on defect formation in Si and Ge,”
Thin Solid Films, vol. 518, no. 9, pp. 2442–2447, 2010.
[77] Y. Zaitsu et al., “Boron Diffusion in Compressively Stressed Float Zone-Silicon
Induced by Si3N4 Films,” Journal of the Electrochemical Society, vol. 145, no. 1,
pp. 258–264, 1998.
[78] R. Braunstein, A. R. Moore, and F. Herman, “Intrinsic Optical Absorption in
Germanium-Silicon Alloys,” Physical Review, vol. 109, no. 3, pp. 695–710, 1958.
[79] Ioffe Physical Technical Institute, parameter database, for more information, go to
[Link]
[80] G. M. Dalpian et al., “Ab initio calculations of vacancies in SixGe1–x,” Applied Physics
Letters, vol. 81, no. 18, pp. 3383–3385, 2002.
[81] P. Venezuela et al., “Vacancy-mediated diffusion in disordered alloys: Ge
self-diffusion in Si1–xGex,” Physical Review B, vol. 65, no. 19, p. 193306, 2002.
[82] P. Ramanarayanan, K. Cho, and B. M. Clemens, “Effect of composition on vacancy
mediated diffusion in random binary alloys: First principles study of the Si1–xGex
system,” Journal of Applied Physics, vol. 94, no. 1, pp. 174–185, 2003.
[83] N. Zographos et al., “Multiscale modeling of doping processes in advanced
semiconductor devices,” Materials Science in Semiconductor Processing, vol. 62,
pp. 49–61, May 2017.
[84] R. T. Crosby, Evolution of Self-Interstitials Induced by Ion-Implantation in SiGe Alloys,
PhD thesis, University of Florida, USA, 2005.
[85] V. Moroz et al., “Dissolution of extended defects in strained silicon,” Journal of
Vacuum Science & Technology B, vol. 26, no. 1, pp. 439–442, 2008.
[86] P. Castrillo et al., “Physical modeling and implementation scheme of native defect
diffusion and interdiffusion in SiGe heterostructures for atomistic process simulation,”
Journal of Applied Physics, vol. 109, p. 103502, May 2011.
[87] C. Zechner and N. Zographos, “Silicon germanium interdiffusion in SiGe device
fabrication: A calibrated TCAD model,” Materials Science in Semiconductor
Processing, vol. 42, pp. 230–234, February 2016.
[88] R. Kube et al., “Composition dependence of Si and Ge diffusion in relaxed Si1–xGex
alloys,” Journal of Applied Physics, vol. 107, p. 073520, April 2010.
[89] Y. Dong et al., “A unified interdiffusivity model and model verification for tensile and
relaxed SiGe interdiffusion over the full germanium content range,” Journal of Applied
Physics, vol. 111, p. 044909, February 2012.

Advanced Calibration for Process Simulation User Guide 130


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
References

[90] G. Xia, J. L. Hoyt, and M. Canonico, “Si–Ge interdiffusion in strained Si/strained SiGe
heterostructures and implications for enhanced mobility metal-oxide-semiconductor
field-effect transistors,” Journal of Applied Physics, vol. 101, p. 044901, February
2007.
[91] N. E. B. Cowern et al., “Diffusion in Strained Si(Ge),” Physical Review Letters, vol. 72,
no. 16, pp. 2585–2588, 1994.
[92] H. H. Silvestri et al., “Diffusion of silicon in crystalline germanium,” Semiconductor
Science and Technology, vol. 21, no. 6, p. 758, 2006.
[93] G. Xia and J. L. Hoyt, “Si–Ge interdiffusion under oxidizing conditions in epitaxial SiGe
heterostructures with high compressive stress,” Applied Physics Letters, vol. 96,
p. 122107, March 2010.
[94] A. N. Larsen and P. Kringhoj, “Diffusion of Sb in relaxed Si1–xGex,” Applied Physics
Letters, vol. 68, no. 19, pp. 2684–2686, 1996.
[95] P. Laitinen, Self- and Impurity Diffusion in Intrinsic Relaxed Silicon - Germanium, PhD
thesis, University of Jyväskylä, Finland, 2004.
[96] N. Zangenberg, Defect and Diffusion Studies in Si and SiGe, PhD thesis, University
of Aarhus, Denmark, 2003.
[97] P. Kuo et al., “Boron Diffusion in Si and Si1–xGex,” in MRS Symposium Proceedings,
Strained Layer Epitaxy - Materials, Processing, and Device Applications, vol. 379,
pp. 373–378, 1995.
[98] N. Moriya et al., “Boron Diffusion in Strained Si1–xGex Epitaxial Layers,” Physical
Review Letters, vol. 71, no. 6, pp. 883–886, 1993.
[99] K. Rajendran and W. Schoenmaker, “Studies of boron diffusivity in strained Si1–xGex
epitaxial layers,” Journal of Applied Physics, vol. 89, no. 2, pp. 980–987, 2001.
[100] S. Uppal et al., “Diffusion of Boron in Germanium and Si1–xGex (x>50%) alloys,” in
MRS Symposium Proceedings, CMOS Front-End Materials and Process Technology,
vol. 765, p. D6.16.1, January 2003.
[101] C. Ahn, Atomic scale modeling of stress and pairing effects on dopant behavior in
silicon, PhD thesis, University of Washington, USA, 2007.
[102] S. Eguchi et al., “Comparison of arsenic and phosphorus diffusion behavior in silicon–
germanium alloys,” Applied Physics Letters, vol. 80, no. 10, pp. 1743–1745, 2002.
[103] S. Eguchi et al., “Germanium-concentration dependence of arsenic diffusion in silicon
germanium alloys,” Applied Physics Letters, vol. 84, no. 3, pp. 368–370, 2004.
[104] Y.-M. Sheu et al., “Modeling Dopant Diffusion in Strained and Strain-Relaxed
Epi-SiGe,” in International Conference on Simulation of Semiconductor Process and
Devices (SISPAD), Tokyo, Japan, pp. 75–78, September 2005.
[105] C. C. Wang et al., “Boron diffusion in strained and strain-relaxed SiGe,” Materials
Science and Engineering B, vol. 124–125, pp. 39–44, December 2005.

Advanced Calibration for Process Simulation User Guide 131


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
References

[106] P. E. Thompson, J. Bennett, and S. Felch, “The Use of SiGe Barriers During the
Formation of p+ Shallow Junctions by Ion Implantation,” in MRS Symposium
Proceedings, Silicon Front-End Junction Formation—Physics and Technology,
vol. 810, San Francisco, CA, USA, pp. C4.11.1–C4.11.6, April 2004.
[107] F. K. LeGoues et al., “Oxidation studies of SiGe,” Journal of Applied Physics, vol. 65,
no. 4, pp. 1724–1728, 1989.
[108] T. Tezuka, N. Sugiyama, and S. Takagi, “Fabrication of strained Si on an ultrathin
SiGe-on-insulator virtual substrate with a high-Ge fraction,” Applied Physics Letters,
vol. 79, no. 12, pp. 1798–1800, 2001.
[109] Z. Y. Xue et al., “Study of Ge loss during Ge condensation process,” Thin Solid Films,
vol. 557, pp. 120–124, April 2014.
[110] M. Spadafora et al., “Oxidation rate enhancement of SiGe epitaxial films oxidized in
dry ambient,” Applied Physics Letters, vol. 83, no. 18, pp. 3713–3715, 2003.
[111] N. Sugiyama et al., “Temperature effects on Ge condensation by thermal oxidation of
SiGe-on-insulator structures,” Journal of Applied Physics, vol. 95, no. 8, pp. 4007–
4011, 2004.
[112] B. Vincent et al., “Fabrication of SiGe-on-insulator substrates by a condensation
technique: an experimental and modelling study,” Semiconductor Science and
Technology, vol. 22, no. 3, pp. 237–244, 2007.
[113] E. Long et al., “Nano-structuring in SiGe by oxidation induced anisotropic Ge
self-organization,” Journal of Applied Physics, vol. 113, no. 10, p. 104310, 2013.
[114] O. Gourhant et al., “Ge Condensation using Rapid Thermal Oxidation for SGOI
Substrate Preparation,” ECS Transactions, vol. 64, no. 6, pp. 469–478, 2014.
[115] V. Boureau et al., “Strain/composition interplay in thin SiGe layers on insulator
processed by Ge condensation,” Materials Science in Semiconductor Processing,
vol. 42, pp. 251–254, February 2016.
[116] T. David et al., “Kinetics and Energetics of Ge Condensation in SiGe Oxidation”,
Journal of Physical Chemistry C, vol. 119, no. 43, pp. 24606–24613, 2015.
[117] W. M. Brewer et al., “Lateral Ge Diffusion During Oxidation of Si/SiGe Fins”, Nano
Letters, vol. 17, pp. 2159–2164, 2017, and supplementary information.
[118] C. S. Thornton et al., “The Diffusion Mechanism of Ge During Oxidation of Si/SiGe
Nanofins”, ACS Applied Materials & Interfaces, vol. 14, pp. 29422–29430, 2022, and
supporting information.
[119] T. Shimura et al., “Self-limiting oxidation of SiGe alloy on silicon-on-insulator wafers,”
Applied Physics Letters, vol. 89, no. 11, p. 111923, 2006.
[120] Y. Zhang et al., “Experimental evidence of oxidant-diffusion-limited oxidation of SiGe
alloys,” Journal of Applied Physics, vol. 106, no. 6, p. 063508, 2009.
[121] W. S. Liu et al., “Wet oxidation of GeSi at 700 °C,” Journal of Applied Physics, vol. 71,
no. 8, pp. 4015–4018, 1992.

Advanced Calibration for Process Simulation User Guide 132


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
References

[122] M. Tanaka et al., “Abnormal oxidation characteristics of SiGe/Si-on-insulator


structures depending on piled-up Ge fraction at SiO2/SiGe interface,” Journal of
Applied Physics, vol. 103, no. 5, p. 054909, 2008.
[123] G. L. Bir and G. E. Pikus, Symmetry and Strain-Induced Effects in Semiconductors,
New York: John Wiley & Sons, 1974.
[124] C. G. Van de Walle, “Strain effects on the valence-band structure of SiGe,” in
Properties of Silicon Germanium and SiGe:Carbon, EMIS Datareviews Series,
pp. 135–139, London: INSPEC, The Institute of Electrical Engineers, 2000.
[125] M. Diebel, Application of Ab-initio Calculations to Modeling of Nanoscale Diffusion
and Activation in Silicon, PhD thesis, University of Washington, Seattle, WA, USA,
2004.
[126] Y. Kawamura et al., “Self-diffusion in compressively strained Ge,” Journal of Applied
Physics, vol. 110, p. 034906, August 2011.
[127] C. Ahn et al., “Stress effects on impurity solubility in crystalline materials: A general
model and density-functional calculations for dopants in silicon,” Physical Review B,
vol. 79, no. 7, p. 073201, 2009.
[128] R. F. Lever, J. M. Bonar, and A. F. W. Willoughby, “Boron diffusion across silicon–
silicon germanium boundaries,” Journal of Applied Physics, vol. 83, no. 4, pp. 1988–
1994, 1998.
[129] J. S. Christensen, Dopant diffusion in Si and SiGe, PhD thesis, KTH, Royal Institute
of Technology, Stockholm, Sweden, 2004.
[130] G. Hobler and S. Selberherr, “Two-Dimensional Modeling of Ion Implantation Induced
Point Defects,” IEEE Transactions on Computer-Aided Design, vol. 7, no. 2, pp. 174–
180, 1988.
[131] M. D. Giles, “Transient Phosphorus Diffusion Below the Amorphization Threshold,”
Journal of the Electrochemical Society, vol. 138, no. 4, pp. 1160–1165, 1991.
[132] A. Renau, “Device performance and yield - A new focus for ion implantation,” in
Extended Abstracts of International Workshop on Junction Technology (IWJT),
Shanghai, China, May 2010.
[133] F. Khaja et al., “Benefits of Damage Engineering for PMOS Junction Stability,” in 18th
International Conference on Ion Implantation Technology (IIT), Kyoto, Japan, June
2010.
[134] F. A. Khaja et al., “Physical understanding of cryogenic implant benefits for electrical
junction stability,” Applied Physics Letters, vol. 100, no. 11, p. 112102, 2012.
[135] M. Posselt, L. Bischoff, and J. Teichert, “Influence of dose rate and temperature on
ion-beam-induced defect evolution in Si investigated by channeling implantation at
different doses,” Applied Physics Letters, vol. 79, no. 10, pp. 1444–1446, 2001.

Advanced Calibration for Process Simulation User Guide 133


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
References

[136] R. D. Goldberg, J. S. Williams, and R. G. Elliman, “Amorphization of silicon by


elevated temperature ion irradiation,” Nuclear Instruments and Methods in Physics
Research B, vol. 106, no. 1–4, pp. 242–247, 1995.
[137] H.-J. Gossmann et al., “Predictive Process Simulation of Cryogenic Implants for
Leading Edge Transistor Design,” in 19th International Conference on Ion
Implantation Technology (IIT), Valladolid, Spain, pp. 225–228, June 2012.
[138] N. Zographos, A. Tsibizov, and C. Zechner, “Continuum Modeling of Implantation and
Thermal Processes for Advanced Devices Formation,” in 20th International
Conference on Ion Implantation Technology (IIT), Portland, OR, USA, pp. 189–194,
June 2014.
[139] S. I. Kondratenko, L. M. Rubin, and E. A. G. Webster, “Analysis of Very High Energy
Implantation Profiles at Channeling and Non-Channeling Conditions,” in 22nd
International Conference on Ion Implantation Technology (IIT), Würzburg, Germany,
pp. 307–310, September 2018.
[140] M. Current et al., “Channeled MeV B, P and As Profiles in Si(100): Monte-Carlo
Models and SIMS,” in 22nd International Conference on Ion Implantation Technology
(IIT), Würzburg, Germany, pp. 251–254, September 2018.
[141] J. Li and K. S. Jones, “{311} defects in silicon: The source of the loops,” Applied
Physics Letters, vol. 73, no. 25, pp. 3748–3750, 1998.
[142] C. Zechner et al., “Simulation of dopant diffusion and activation during flash lamp
annealing,” Materials Science and Engineering B, vol. 154-155, pp. 20–23, December
2008.
[143] S. Solmi, F. Baruffaldi, and R. Canteri, “Diffusion of boron in silicon during
post-implantation annealing,” Journal of Applied Physics, vol. 69, no. 4, pp. 2135–
2142, 1991.
[144] M. Diebel and S. T. Dunham, “Ab Initio Calculations to Model Anomalous Fluorine
Behavior,” Physical Review Letters, vol. 93, no. 24, p. 245901, 2004.
[145] M. Diebel and S. T. Dunham, “Reply to Ab Initio Calculations to Model Anomalous
Fluorine Behavior,” Physical Review Letters, vol. 96, p. 039602, January 2006.
[146] K. Vollenweider et al., “Fluorine clustering and diffusion in silicon: Ab initio
calculations and kinetic Monte Carlo model,” Journal of Vacuum Science &
Technology B, vol. 28, no. 1, pp. C1G1–C1G6, 2010.
[147] P. A. Schultz and J. S. Nelson, “Fast through-bond diffusion of nitrogen in silicon,”
Applied Physics Letters, vol. 78, no. 6, pp 736–738, 2001.
[148] N. Stoddard et al., “Ab Initio Identification of the Nitrogen Diffusion Mechanism in
Silicon,” Physical Review Letters, vol. 95, no. 2, p. 025901, 2005.
[149] J. S. Nelson, P. A. Schultz, and A. F. Wright, “Valence and atomic size dependent
exchange barriers in vacancy-mediated dopant diffusion,” Applied Physics Letters,
vol. 73, no. 2, pp 247–249, 1998.

Advanced Calibration for Process Simulation User Guide 134


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
References

[150] J. P. Goss et al., “Vibrational modes and electronic properties of nitrogen defects in
silicon,” Physical Review B, vol. 67, no. 4, p. 045206, 2003.
[151] G. L. Olson and J. A. Roth, “Kinetics of Solid Phase Crystallization in Amorphous
Silicon,” Materials Science Reports, vol. 3, no. 1, pp. 1–78, 1988.
[152] S. Mirabella et al., “Fluorine segregation and incorporation during solid-phase epitaxy
of Si,” Applied Physics Letters, vol. 86, no. 12, p. 121905, 2005.
[153] I. Martin-Bragado and V. Moroz, “Facet formation during solid phase epitaxy
regrowth: A lattice kinetic Monte Carlo model,” Applied Physics Letters, vol. 95,
p. 123123, September 2009.
[154] K. L. Saenger et al., “A study of trench-edge defect formation in (001) and (011) silicon
recrystallized by solid phase epitaxy,” Journal of Applied Physics, vol. 101, no. 2,
p. 024908, 2007.
[155] V. C. Venezia et al., “Dopant redistribution effects in preamorphized silicon during low
temperature annealing,” in IEDM Technical Digest, Washington, DC, USA, pp. 489–
492, December 2003.
[156] S. Mirabella et al., “Mechanism of Boron Diffusion in Amorphous Silicon,” Physical
Review Letters, vol. 100, p. 155901, April 2008.
[157] K. Suzuki et al., “Analytical Model for Redistribution Profile of Ion-Implanted Impurities
During Solid-Phase Epitaxy,” IEEE Transactions on Electron Devices, vol. 54, no. 2,
pp. 262–271, 2007.
[158] R. Duffy et al., “Dopant diffusion in amorphous silicon,” in MRS Symposium
Proceedings, Silicon Front-End Junction Formation—Physics and Technology,
vol. 810, San Francisco, CA, USA, p. C10.2.1, April 2004.
[159] R. Duffy et al., “Influence of preamorphization and recrystallization on indium doping
profiles in silicon,” Journal of Vacuum Science & Technology B, vol. 22, no. 3,
pp. 865–868, 2004.
[160] G. Impellizzeri et al., “Fluorine in preamorphized Si: Point defect engineering and
control of dopant diffusion,” Journal of Applied Physics, vol. 99, p. 103510, May 2006.
[161] G. R. Nash et al., “Activation energy for fluorine transport in amorphous silicon,”
Applied Physics Letters, vol. 75, no. 23, pp. 3671–3673, 1999.
[162] M. Rahimo et al., “Thin-Wafer Silicon IGBT With Advanced Laser Annealing and
Sintering Process,” IEEE Electron Device Letters, vol. 33, no. 11, pp. 1601–1603,
2012.
[163] T. Gutt and H. Schulze, “Deep melt activation using laser thermal annealing for IGBT
thin wafer technology,” in Proceedings of the 22nd International Symposium on Power
Semiconductor Devices and ICs (ISPSD), Hiroshima, Japan, pp. 29–32, June 2010.
[164] K. Huet et al., “High Performance and High Yield Junction Formation with Full Device
Exposure Laser Thermal Annealing,” in International Image Sensor Workshop (IISW),
Hokkaido, Japan, p. R12, June 2011.

Advanced Calibration for Process Simulation User Guide 135


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
References

[165] A. Karma and W.-J. Rappel, “Quantitative phase-field modeling of dendritic growth in
two and three dimensions,” Physical Review E, vol. 57, no. 4, pp. 4323–4349, 1998.
[166] IGBT Process Simulation With Backside Melt Laser Annealing and Its Device
Simulations for DC, Switching, and Short-Circuit Characteristics, available from
TCAD Sentaurus Version V-2023.12 installation, go to Applications_Library/
Power/IGBT_MeltLaserAnneal.
[167] C. J. Glassbrenner and G. A. Slack, “Thermal Conductivity of Silicon and Germanium
from 3°K to the Melting Point,” The Physical Review, vol. 134, no. 4A, pp. A1058–
A1069, 1964.
[168] B. Abeles et al., “Thermal Conductivity of Ge–Si Alloys at High Temperatures,”
Physical Review, vol. 125, no. 1, pp. 44–46, 1962.
[169] E. Yamasue et al., “Thermal conductivities of silicon and germanium in solid and liquid
states measured by non-stationary hot wire method with silica coated probe,” Journal
of Crystal Growth, vol. 234, no. 1, pp. 121–131, 2002.
[170] D. G. Cahill et al., “Thermal conductivity of epitaxial layers of dilute SiGe alloys,”
Physical Review B, vol. 71, no. 23, p. 235202, 2005.
[171] A. S. Lahwal, Thermoelectric Properties of Silicon Germanium: An Investigation of the
Reduction of Lattice Thermal Conductivity and Enhancement of Power Factor, PhD
thesis, Clemson University, Clemson, SC, USA, May 2015.
[172] D. Li et al., “Thermal conductivity of Si/SiGe superlattice nanowires,” Applied Physics
Letters, vol. 83, no. 15, pp. 3186–3188, 2003.
[173] I. Yonenaga et al., “Thermal and Electrical Properties of Czochralski Grown
Germanium-Silicon Alloys,” in 18th International Conference on Thermoelectrics,
Baltimore, MD, USA, pp. 436–439, August 1999.
[174] B. L. Zink, R. Pietri, and F. Hellman, “Thermal Conductivity and Specific Heat of
Thin-Film Amorphous Silicon,” Physical Review Letters, vol. 96, no. 5, p. 055902,
2006.
[175] Y. He, D. Donadio, and G. Galli, “Heat transport in amorphous silicon: Interplay
between morphology and disorder,” Applied Physics Letters, vol. 98, p. 144101, April
2011.
[176] W. Szyszko, F. Vega, and C. N. Afonso, “Shifting of the thermal properties of
amorphous germanium films upon relaxation and crystallization,” Applied Physics A:
Materials Science and Processing, vol. 61, no. 2, pp. 141–147, 1995.
[177] B. Ryningen et al., “The Effect of Crucible Coating and the Temperature Field on
Minority Carrier Lifetime in Directionally Solidified Multicrystalline Silicon Ingots,” in
27th European Photovoltaic Solar Energy Conference and Exhibition, Frankfurt,
Germany, pp. 926–932, September 2012.
[178] H. Ftouni et al., “Thermal conductivity of silicon nitride membranes is not sensitive to
stress,” Physical Review B: Condensed Matter and Materials Physics, vol. 92, no. 12,
p. 125439, 2015.

Advanced Calibration for Process Simulation User Guide 136


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
References

[179] R. K. Endo, Y. Fujihara, and M. Susa, “Calculation of density and heat capacity of
silicon by molecular dynamics simulation,” High Temperatures-High Pressures,
vol. 35–36, no. 5, pp. 505–511, 2003.
[180] P. Roura et al., “Measurement of the specific heat and determination of the
thermodynamic functions of relaxed amorphous silicon,” Journal of Applied Physics,
vol. 113, p. 173515, May 2013.
[181] A. S. Okhotin, A. S. Pushkarskij, and V. V. Gorbachev, Thermophysical Properties of
Semiconductors, Moscow: Atomizdat, 1972.
[182] W.-K. Rhim, Thermophysical Property Measurements of Molten Semiconductors in
1-g and Reduced-g Conditions, Technical Report, Jet Propulsion Laboratory,
California Institute of Technology, Pasadena, CA, USA, February 1999
([Link]
[183] For information about the NIST-JANAF thermochemical tables, go to
[Link]
[184] H. Stöhr and, W. Klemm, “Über Zweistoffsysteme mit Germanium. I. Germanium/
Aluminium, Germanium/Zinn und Germanium/Silicium,” Zeitschrift für anorganische
und allgemeine Chemie, vol. 241, no. 4, pp. 305–323, 1939.
[185] A. Mittiga, L. Fornarini, and R. Carluccio, “Numerical modeling of laser induced phase
transitions in silicon,” Applied Surface Science, vol. 154–155, pp. 112–117, February
2000.
[186] A. La Magna et al., “A phase-field approach to the simulation of the excimer laser
annealing process in Si,” Journal of Applied Physics, vol. 95, no. 9, pp. 4806–4814,
2004.
[187] S. de Unamuno and E. Fogarassy, “A Thermal Description of the Melting of c- and
a-Silicon Under Pulsed Excimer Lasers,” Applied Surface Science, vol. 36, no. 1–4,
pp. 1–11, 1989.
[188] G. E. Jellison Jr., “Optical functions of silicon determined by two-channel polarization
modulation ellipsometry,” Optical Materials, vol. 1, no. 1, pp. 41–47, 1992.
[189] ICT Project 258547 ATEMOX, Advanced Technology Modeling for Extra-Functionality
Devices, for more information, go to [Link]
[190] J. P. Garandet, “New Determinations of Diffusion Coefficients for Various Dopants in
Liquid Silicon,” International Journal of Thermophysics, vol. 28, no. 4, pp. 1285–1303,
2007.
[191] M. Hackenberg et al., “Modeling Boron Profiles in Silicon after Pulsed Excimer Laser
Annealing,” in 19th International Conference on Ion Implantation Technology (IIT),
Valladolid, Spain, pp. 241–244, June 2012.
[192] K. Huet et al., “Experimental and Theoretical analysis of Dopant Activation in Double
Implanted Silicon by Pulsed Laser Thermal Annealing,” in 17th International
Conference on Advanced Thermal Processing of Semiconductors (RTP), Albany, NY,
USA, September 2009.

Advanced Calibration for Process Simulation User Guide 137


V-2024.03
Chapter 2: Advanced Calibration for Silicon, SiGe, and Germanium
References

[193] L. Dagault et al., “Composition and Strain Evolution of Undoped Si0.8Ge0.2 Layers
Submitted to UV-Nanosecond Laser Annealing,” ECS Transactions, vol. 86, no. 7,
pp. 29–39, 2018.
[194] M. O. Thompson et al., “Melting Temperature and Explosive Crystallization of
Amorphous Silicon during Pulsed Laser Irradiation,” Physical Review Letters, vol. 52,
no. 26, pp. 2360–2363, 1984.

Advanced Calibration for Process Simulation User Guide 138


V-2024.03
3
Guidelines for Additional Calibration
3

This chapter provides guidelines for additional calibration.

The Advanced Calibration file is the recommended starting point for accurate process
simulation with Sentaurus Process. However, Advanced Calibration cannot fully replace an
additional calibration by users for 2D or 3D applications. With a customized process
calibration, the accuracy can always be further increased for any technology of interest. A
customized calibration of process and device simulation models must be performed by
users or can be requested from Synopsys in the context of a customer service project.
To further improve Advanced Calibration, Synopsys appreciates feedback from customers
regarding the accuracy obtained with the parameter files for different process conditions,
and suggestions for improved models or parameter values.

Accuracy and Limitations of Advanced Calibration of Sentaurus


Process
The Advanced Calibration is based on scientific literature on process simulation models and
on a continual calibration effort based on the Synopsys collection of SIMS profiles from
state-of-the-art device manufacturing technology. A good agreement is obtained for a large
portion of the SIMS data for silicon. However, in many cases, there is a significant mismatch
between simulation results obtained with Advanced Calibration and the experimental data
for several reasons:
• Many models are simplifications of real physics.
• Only a few parameters of diffusion and reaction physics in silicon can be determined by
direct measurements. Therefore, the calibration is difficult.
• A very large range of possible experiment data needs to be reproduced with a single,
consistent set of models. For example, in standard CMOS technology, dopant
concentrations range from 1016 cm–3 to 1022 cm–3; temperatures range from 500°C to
1350°C.

Advanced Calibration for Process Simulation User Guide 139


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Accuracy and Limitations of Advanced Calibration of Sentaurus Process

• In extreme conditions, the models used are often overburdened. For example, the
21 –3
dopant clustering models, which work well at dopant concentrations up to 10 cm ,
are less reliable at higher dopant concentrations, which might occur after high-dose ion
implantation at very low energies or after epitaxial growth of highly doped layers.
• The experimental data is not perfectly accurate. Errors arise from insufficient equipment
calibration (implanter dose, furnace temperature), from SIMS measurements, and from
missing details in the process description such as thickness of the oxide layer on top of
silicon. As a result, occasionally, small discrepancies are observed between data
obtained by different groups for the same nominal process conditions.
• For some physical phenomena, no adequate calibration is available, either due to the
lack of a physical model in Sentaurus Process or to the lack of data for performing a
reliable calibration.
This section discusses the accuracy of Advanced Calibration in detail. It also explains for
which process conditions the accuracy is limited and which parameters can be tuned by
users to increase the accuracy in a process window of interest. Unless mentioned
otherwise, the discussion focuses on the default model switches of Advanced Calibration.
For the option AdvancedModels (part 4 of AdvCal_2024.[Link]), see Part 4:
Comprehensive and Slow Models on page 96.

Error Control
By default, the Math option NegErrCntrl (as well as [Link] and
[Link]) is switched off. When switched on, NegErrCntrl enforces stricter error
control at Newton iterations and, typically, leads to an increased CPU time for the simulation
of thermal annealing.
With NegErrCntrl switched off, the simulation results can include the corresponding
numeric errors. For many applications, these numeric errors are small. However, it is
worthwhile checking the numeric errors at least once for a process simulation setup. If
simulations with and without the option NegErrCntrl produce different results, it is
recommended to switch on NegErrCntrl (or [Link] and [Link])
either globally or for selected diffusion steps and data fields, to avoid numeric errors.

Point Defects
This section discusses point defects.

Advanced Calibration for Process Simulation User Guide 140


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Accuracy and Limitations of Advanced Calibration of Sentaurus Process

Bulk Parameters
The parameter values for the equilibrium concentration, diffusivity, and charge-state
distribution of point defects in silicon have been chosen as a careful compromise between
various suggestions in publications [1][2][3][4][5][6][7].
The bulk recombination is based on the assumption that there is no energy barrier for I–V
recombination, and that interstitials and vacancies with the same charge state do not
recombine.
Changing any of these parameters might affect the diffusion and activation behavior of
several dopants. Therefore, for the purpose of improving the accuracy of diffusion of one
dopant, it is not recommended to change point-defect parameters for both silicon and
germanium, due to the possible unwanted effects on other dopants.

Surface Boundary Conditions


At the Si–SiO2 interface, fast recombination is assumed for interstitials and vacancies. The
parameter Ksurf is defined such that the recombination length is 1 nm. Sometimes, in
technology-specific calibration, the parameter Ksurf for interstitial recombination at Si–SiO2
interfaces is reduced to slow down the surface recombination of excess interstitials created
by ion implantation.
For nitride–silicon interfaces, the point-defect surface boundary conditions have not yet
been calibrated, due to a lack of suitable data. The parameter Ksurf is set to values that
correspond to a recombination length of 10 nm. Therefore, point-defect recombination or
injection at Si–SiN interfaces is assumed to be slower than at Si–SiO2 interfaces. As it is
unclear whether this is correct, in process simulations of structures that include Si–SiN
interfaces, an adjustment of Ksurf should be considered for fine-tuning.
Gas–Si interfaces are rarely used in process simulation. Bare Si surfaces should usually be
covered by a thin native oxide, which is necessary for modeling dopant dose loss at Si
surfaces. However, during epitaxy, gas–Si interfaces occur. For gas–Si interfaces, fast
recombination is used for interstitials and, since Advanced Calibration Version S-2021.06,
also for vacancies.
From experiments, there is no direct evidence whether vacancy recombination at gas–Si
surfaces should be fast or slow. In Advanced Calibration Version R-2020.09 and earlier, a
105 times slower recombination of vacancies (recombination length 100 µm) was defined at
gas–Si and gas–Ge boundaries, by the statements:
pdbSetDouble Gas_Si V Ksurf {[expr [pdbGet Si V Dv]*1e2]}
pdbSetDouble Gas_Ge V Ksurf {[expr [pdbGet Ge V Dv]*1e2]}

Originally, this was found to be useful for modeling the measured phosphorus tail diffusion
during epitaxy of P-doped Si, without a need to assume any grown-in defects.

Advanced Calibration for Process Simulation User Guide 141


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Accuracy and Limitations of Advanced Calibration of Sentaurus Process

However, over time, for several process conditions, the slow vacancy recombination velocity
at gas–Si interfaces was found to cause poor results for dopant diffusion, which included:
• Growth of epi layers immediately after a high-temperature anneal with a fast ramp-down
(in this case, vacancies formed at high temperatures can still be present)
• Growth of epi layers after C implantation (in this case, vacancies are generated during
the formation of C.I clusters)
• Thermal anneals for structures in which users forgot to place a native oxide on top of
bare silicon surfaces
Therefore, in AdvCal_2021.[Link], a fast vacancy recombination at gas–Si interfaces was
introduced. It is still used in AdvCal_2024.[Link]. This change affects P diffusion during
epitaxial growth of P-doped Si. For this use case, results have changed between
AdvCal_2020.[Link] and AdvCal_2021.[Link]. For recommendations, see
Phosphorus-Doped Epitaxial Silicon on page 159.

Oxidation-Enhanced Diffusion
Oxidation-enhanced diffusion (OED) is simulated by interstitial injection and a reduced
concentration of vacancies at the moving Si–SiO2 interface. The interstitial injection rate
depends on the local oxide growth rate at the interface. The calibration for the intrinsic
condition is based on literature data for the growth of thick oxide in O2 atmosphere. For
additional fine-tuning of OED in lowly doped regions, it is recommended to adjust the
parameter theta. For a separate fine-tuning of OED in highly doped regions, it is
recommended to adjust the parameters m, mm for n-type doping and the parameters p, pp for
p-type doping.
In the process simulation of NMOS devices, increasing the value of theta during poly
reoxidation typically increases the reverse short-channel effect by enhancing the B pileup
towards the Si–SiO2 interface under the gate, which is driven by the injection of interstitials
at the oxidizing surfaces.

Clusters of Interstitials
The one-moment model suggested by Rafferty et al. [8] is used in the Advanced Calibration.
It gives a reasonably accurate description of Si self-interstitial supersaturation during
anneals, in which the transient-enhanced diffusion (TED) is dominated by the release and
capture of interstitials by {311} defects. This is the case for annealing at medium or high
temperatures (T > 800°C) after nonamorphizing ion implantation.
The model is not suitable for investigating the initial stage of TED for low-temperature
annealing (< 800°C). For processes where the initial phase of TED is crucial, the Rafferty
model is not the most accurate choice. Instead, consider using the procedure
AdvancedModels to benefit from complete modeling of small interstitial clusters, {311}
defects, and dislocation loops.

Advanced Calibration for Process Simulation User Guide 142


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Accuracy and Limitations of Advanced Calibration of Sentaurus Process

After amorphizing implantation, dislocation loops might form at the amorphous–crystalline


interface. Loops are known to be much more stable interstitial clusters than {311} defects.
As an effect, the rate of interstitial release is smaller. The formation and dissolution of
dislocation loops is not included in the 1Moment model for TED. However, you can imitate
the presence of dislocation loops by reducing the evaporation rate Ikr in the Rafferty model,
after amorphizing implantations:
pdbSetDouble Silicon ICluster Ikr {[Arr value1 value2]}

With the default value of Ikr in AdvCal_2024.[Link], the stability of the interstitial clusters
is adjusted to the stability of {311} defects and is significantly lower than the expected
stability of dislocation loops.
Figure 20 shows the TEM data on the amount of interstitials in {311} clusters after a 40 keV,
5×1013 cm–2 silicon implantation and annealing at different temperatures. The experimental
data (symbols) from the literature [9] is compared to the simulation results with
AdvCal_2022.[Link] (solid lines).

Figure 20 TEM data points on the amount of interstitials in {311} clusters after 40 keV,
5×1013 cm–2 silicon implantation and annealing at different temperatures [9]
compared to simulation results (lines)

1014
Interstitials in {311} Defects

1013

670ºC
1012 738°C
815°C

1011
100 101 102 103 104 105
Time [s]

If the Full cluster model is switched on for interstitial clusters by AdvancedModels, the initial
conditions after implantation are specified by:
pdbSet Si I2 InitPercent 1.0

This means excess interstitials are placed into I2 clusters at the beginning of
post-implantation anneals. In most simulations, results are not sensitive to this particular
choice of initial conditions. For very low (< 600°C) temperature anneals of B and P, or for

Advanced Calibration for Process Simulation User Guide 143


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Accuracy and Limitations of Advanced Calibration of Sentaurus Process

thermal anneals that start with a very slow ramp from very low temperatures, the TED of B
and P can be sensitive to these initial conditions. In such cases, you can adjust the diffusion
tail from TED at very low temperatures, by initially placing interstitials into either more stable
clusters or a combination of clusters. For example:
pdbSet Si I2 InitPercent 0.5
pdbSet Si I3 InitPercent 0.5

Vacancy Clusters
In Advanced Calibration, vacancy clusters are not taken into account. This is justified for
most processes, but not for the so-called defect engineering [10], where vacancy-rich silicon
regions are created near the surface by high-energy ion implantation, in order to form highly
activated and steep boron profiles.

Boron Diffusion and Clustering


This section discusses boron diffusion and clustering.

Diffusion and Pairing in Silicon


The diffusivity of boron has been measured by many groups, with similar but not fully
identical results [1]. Omitting the most extreme published values, the spread between the
lowest and highest diffusivities for B is approximately a factor of two for high temperatures
(900–1100°C). You should not change the B diffusivity by more than 30% in your own
calibrations. At temperatures less than 800°C, the B diffusivity is much less reliable. In
AdvCal_2024.[Link], boron diffuses only using B–I pairs, with the diffusion coefficient
given by:
pdbSetDoubleArray Si B Int D { 0 {[Arr 0.123 3.566]}
1 {[Arr 3.00 3.640]}
2 {[Arr 1.01 3.98]} }

Here, the first component represents B–I pair diffusion using B–I0 pairs, the second line
represents diffusion using B–I+ pairs, and the third line represents diffusion using B–I++ pairs.
The largest contribution comes from B–I+ pairs. A fine-tuning of B diffusivity can be
performed as follows:
• To increase or decrease B diffusivity in general, all contributions to B diffusivity can be
increased by the same factor. This factor should not be far from 1.0 in order not to
contradict literature data.
• To increase B diffusivity at very low temperatures only, you can consider adding a term
with a small Arrhenius energy to the diffusivity of B–I+ pairs. For example, replace {[Arr
3.00 3.640]} with {[expr [Arr 3.00 3.640] + [Arr 1e-6 2.5]]}. While it might
be questionable, such an increase of B diffusivity at low temperatures can be useful in

Advanced Calibration for Process Simulation User Guide 144


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Accuracy and Limitations of Advanced Calibration of Sentaurus Process

NMOS simulations to increase the B pileup towards the gate oxide during a
low-temperature spacer deposition step and, thereby, to increase the reverse
short-channel effect.
• To influence the shape of the B profile after thermal anneal, alter the relative contribution
of the diffusivity using B–I0, B–I+, and B–I++ pairs. For PMOS p-n junctions, increasing the
contribution of B–I0 will decrease the steepness of the tail, while increasing the
contribution of B–I++ will lead to a more box-like shape, as shown in Figure 21. For
NMOS p-n junctions, where B is used as a pocket dopant, increasing the contribution of
B–I0 will change the shape of the B profile near the p-n junction, as shown in Figure 22.
For B and P, these changes must be undertaken with care in order not to conflict with
direct experimental data summarized in [1]. At temperatures higher than 900°C, to
preserve the agreement with literature investigation on B diffusivity, B–I+ should
contribute at least 75% of the B diffusivity in intrinsic silicon. For other species such as
In, you might consider more significant adjustments in the charge distribution of diffusing
dopant–defect pairs.
A fine-tuning of the relative contributions of different dopant–defect charge states also can
be considered as a means of calibration for all other dopants. The effect is similar to that
illustrated in Figure 21 and Figure 22.
Boron diffusion during oxidation is enhanced because of interstitial injection at the oxidizing
silicon surfaces. To fine-tune boron diffusion during oxidation, first consider adjusting the
parameter theta, which scales the injection of interstitials at surfaces during oxidation.

Figure 21 Impact of B diffusivity on the shape of a boron USJ profile. Increasing the relative
contribution of diffusion using B–I++ pairs to the diffusivity of B, while reducing the
relative contribution of B–I+ pairs, results in a more abrupt profile. Increasing the
relative weight of diffusion using B–I0 pairs results in a less steep profile.

B diffusivity: increased weight of B−I++ h


1021 B diffusivity: AdvCal default
B diffusivity: increased weight of B−I0 h
As-implanted
Boron Concentration [cm−3]

1020

1019

1018

Ge 10 keV 1e15 + B 500 eV 1e15 + spike anneal 1050°C


17
10
0 0.01 0.02 0.03 0.04
Depth [µm]

Advanced Calibration for Process Simulation User Guide 145


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Accuracy and Limitations of Advanced Calibration of Sentaurus Process

Figure 22 Impact of B diffusivity on the shape of a B pocket profile. Increasing the relative
contribution of diffusion using B–I++ pairs to the diffusivity of B, while reducing the
relative contribution of B–I+ pairs, results in a flatter B profile at the B side of the p-n
junction. Increasing the relative weight of diffusion using B–I0 pairs results in a
pronounced minimum of the B concentration close to the p-n junction, due to the
high electric field at the p-n junction.

B as−implanted
19 B diffusivity: increased weight of B−I++
10
B diffusivity: AdvCal default
B diffusivity: increased weight of B−I0
Arsenic, annealed
Concentration [cm−3]

1018

As 2 keV 1e15 + BF2 22 keV 1e13 tilt 30 + spike anneal 1050°C


1017
0 0.02 0.04
Depth [µm]

Migration Distance (for ChargedReact Model)


The migration distance for B–I pairs has been extracted by Giles et al. [11]. It is only relevant
if the five-stream model is switched on by:
pdbSet Silicon Boron DiffModel ChargedReact

By default, the B diffusion model is ChargedPair. This is a simplified case of the


ChargedReact model and allows significantly faster simulations due to better convergence
of the equations and, therefore, larger time steps.
The migration distance lambdaK decreases with increasing temperature. For boron, at
600°C, it is 11 nm; at 1000°C, it is 1.4 nm. The ChargedReact model gives significantly
different results from the ChargedPair model for the annealing of very steep B profiles at
low temperatures. This is illustrated in Figure 23 where a boron marker layer is annealed at
700°C.
Figure 23 shows that the ChargedPair model gives a Gaussian shape to the profile and the
ChargedReact model gives exponential-like tails, as observed in experiment. The slope of
the exponential tail is a measure for the migration distance of B–I pairs at 700°C.
Furthermore, for spike annealing of shallow B implantations, there is sometimes a small
difference in the diffusion tail, which is formed at the very beginning of the ramp-up, where

Advanced Calibration for Process Simulation User Guide 146


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Accuracy and Limitations of Advanced Calibration of Sentaurus Process

the temperature is still low and the interstitial supersaturation is very high. For anneals and
oxidations with a high thermal budget, it is well justified to use the faster ChargedPair
model.

Figure 23 Boron marker layer at depth 100 nm, before and after Si implantation and anneal at
700°C

Initial marker layer


1018 ChargedReact (five-stream)
ChargedPair (three-stream)
Boron [cm-3]

1017

1016

1015
0 50 100
Depth [nm]

Effect of Fluorine
The presence of fluorine can affect the diffusion and activation of boron. It has been shown
[12] that F does not form clusters with B at low concentrations and, therefore, does not
reduce the diffusivity of B directly. Instead, fluorine–vacancy complexes, which are present
in silicon after solid phase epitaxial regrowth (SPER), were found to be able to capture
silicon self-interstitials. After capturing interstitials, F diffuses very fast towards the surface
or deep into the silicon bulk. In addition, it was observed [12][13] that F is redistributed
towards the surface during SPER of amorphized regions, due to a strong segregation effect
at the amorphous–crystalline interface. Furthermore, the speed of SPER is reduced by the
presence of F, which might cause an increased dopant redistribution during the regrowth.
In Advanced Calibration, you have two options to simulate the influence of fluorine on boron
diffusion. As the standard model of Advanced Calibration, the boron diffusivity is reduced in
regions with a high fluorine concentration. Fluorine is assumed to be immobile. The boron
diffusivity is performed by the function:
term name=BoronDiffFactor add Si \
eqn="(5.4e20+0.01*Fluorine)/(5.4e20+Fluorine)"

For many cases, the above formula for an effective reduction of B diffusivity by F is useful.
The formula has been calibrated by a comparison of SIMS data for boron diffusion after
boron and BF2 implantation. It often works well for the simulation of BF2 annealing but,
sometimes, the numbers used in the above formula need to be adjusted. The formula is not

Advanced Calibration for Process Simulation User Guide 147


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Accuracy and Limitations of Advanced Calibration of Sentaurus Process

expected to be predictive for the simulation of ultrashallow junction formation after separate
implantation of B and F atoms at different implantation energies.
To be more predictive in the case of fluorine coimplantation and also for BF2 implantation,
the physics-based but slower model can be used by calling the procedure:
AdvancedFluorineModel

This is called automatically if AdvancedModels is used, but it is also very useful in


combination with the standard models of Advanced Calibration. For fine-tuning of the
AdvancedFluorineModel, see Fluorine Diffusion and Clustering on page 176.

The diffusivity of B in oxide is increased in the presence of F. This effect has also been
calibrated for the simple model based on boron SIMS data measured after BF2 implantation
and annealing. As a result, the boron dose loss increases, as observed in the SIMS data.

Boron Clustering and Activation


As the default, the relatively simple Transient model is chosen for the clustering and
electrical activation of boron. Four substitutional B atoms can form a B cluster. Only the
substitutional B atoms will be assumed to be electrically active for the device simulation.
After ion implantation, only a limited concentration of B atoms is assumed to be
substitutional. This concentration depends on the implantation dose in crystalline silicon and
is 2.113×1020 in amorphized silicon. Values have been calibrated using annealed boron
SIMS profiles and sheet resistance data. In amorphized Si, for fast ramp-up rates during
solid phase epitaxial regrowth, slightly higher values are expected. Pawlak et al. [14]
reported, for example, a value of 2.0×1020 for a ramp-up rate of 1 K/s and a value of
2.37×1020 for a ramp-up rate of 120 K/s.
For the solid solubility, a double Arrhenius function is used with a strong dependency of
solubility on temperature at low temperatures and a smaller dependency at high
temperatures. This temperature dependence has been calibrated against a large collection
of SIMS and sheet resistance data, and it also reflects the data collected by Pichler [1].
Advanced Calibration defaults are:
pdbSet Si B Solubility {[ArrBreak 1.521e23 0.7102 1.50751e22
0.4566 1000]}
pdbSet Si B TotSolubility {[ArrBreak 4.563e23 0.7102 4.52253e22
0.4566 1000]}

Here, you set the relation TotSolubility = 3.0 × Solubility. It is recommended to keep
this relation. When considering a change to Solubility and TotSolubility, you should
change parameters carefully in such a way that boron solubility is steady at the ArrBreak
break temperature.

Advanced Calibration for Process Simulation User Guide 148


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Accuracy and Limitations of Advanced Calibration of Sentaurus Process

In pure Si, the following parameters are recommended to be used to fine-tune B activation
during thermal annealing, as explained in the following:
pdbSetDouble Si B [Link] 3.088
pdbSetDouble Si Int [Link] 1.152

pdbSet Si B CluRate {[Arr 8.33e10 5.1635]}


fproc mcdfactor_Boron { Energy Dose } { return 0.8 }
pdbSet Si B AmInit 2.113e20

The rate of B4 cluster formation is scaled by the term BoronTClusterForwardFac, which is


defined as (Int/EqInt)[Link]. This takes into account that B clusters form
faster in regions of interstitial supersaturation (Int/EqInt > 1). If B deactivation is too fast
in the presence of excess interstitials, then it is recommended to reduce
[Link] to a value smaller than 3.088. This might be necessary when
modeling boron deactivation during low-temperature backend processes. In addition, as
EqInt can become very small at low temperatures, you can consider replacing (Int/EqInt)
with the expression ((Int+1e10)/ (EqInt+1e10)) or similar, and redefining the term
BoronTClusterForwardFac by:
term Si name=BoronTClusterForwardFac store add \
eqn= {((Int+1e10)/(EqInt+1e10))^[pdbGet Si B [Link]]}

Adding 1e10 to the nominator and denominator is a measure to avoid unrealistically high
values of the ratio at very low temperatures.
Adding ddt(B4*1.152) to the equation solved for interstitials in silicon means that the
formation of a B4 cluster is accompanied by the consumption of 1.152 interstitials. Similarly,
1.152 interstitials are released when a B4 cluster dissolves. Strictly speaking, it would be
correct to talk about B4I1.152 clusters. The command pdbUnsetString Si Int
UserEquation eliminates all previously defined changes to the interstitial equation. This
command is included to ensure that the term ddt(B4*1.152) is not added twice when
loading the Advanced Calibration file again. When changing this term after loading
Advanced Calibration, you should also first erase the already defined term, before redefining
it, for example with a value different from 1.152.
The factor mcdfactor_Boron scales the implantation damage created by Monte Carlo (MC)
implantation of B. The implantation damage depends slightly on the wafer temperature
during implantation and on the dose rate. Therefore, a small amount of fine-tuning might be
justified. For B implantations in the dose range from 2×1015 cm–2 to 5×1015 cm–2, that is,
close to the amorphization threshold, the amorphization depth might be quite sensitive to
mcdfactor. For example, if TED is overestimated after such a B implantation, consider
increasing mcdfactor. This will increase the amorphization depth and, thereby, reduce the
number of ‘+1’-interstitials created in the nonamorphized region.
Finally, the clustering rate CluRate has been calibrated to reproduce boron SIMS profiles
and sheet resistance data for a wide range of conditions. Changing CluRate is a powerful

Advanced Calibration for Process Simulation User Guide 149


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Accuracy and Limitations of Advanced Calibration of Sentaurus Process

way to fine-tune the junction depth and the sheet resistance. Figure 24 illustrates the effect
of tuning the clustering rate.

Figure 24 Effect of boron clustering rate CluRate on final shape of a boron profile after 1 keV
1015 cm–2 boron implantation and spike annealing at 1050°C

{[Arr 2.00e11 5.1635]}


1021
{[Arr 8.33e10 5.1635]} (default)
{[Arr 2.00e10 5.1635]}
1020
Boron Concentration [cm−3]

1019

1018

1017

1016
0 0.02 0.04 0.06
Depth [µm]

In the following two scenarios, a reduction of CluRate was sometimes helpful:


• In PMOS device fabrication, when B or BF2 implantation is followed by a temperature
cycle of the following type: (1) spike anneal, (2) spacer deposition at low temperature (for
example, 30 minutes at 600–800°C), and (3) spike anneal. Here, the release of B from
boron clusters can be overestimated in the final spike anneal. Possibly, the
low-temperature wafer processing leads to a stabilization of B clusters, which can be
reflected by a strongly reduced value of CluRate in the TCAD model.
• If boron clusters are located in regions of high carbon concentration (> 1020 cm–3), then
it might be necessary to reduce the clustering rate.
As shown in Figure 24, CluRate mainly influences the high-concentration regions of the B
profiles. The diffusion tail in the low-concentration regions can be adjusted by fine-tuning the
amount of interstitials created by ion implantation.
The most convenient way to make such an adjustment is to redefine the procedure
ifactor_Boron after sourcing the Advanced Calibration file. This is described in more detail
in Performing Additional Calibration on page 185.
The diffusion tail of a boron profile formed by a spike anneal can also be adjusted by varying
the stability of interstitial clusters. The higher the dissolution rate Ikr for interstitial clusters,

Advanced Calibration for Process Simulation User Guide 150


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Accuracy and Limitations of Advanced Calibration of Sentaurus Process

the earlier are the interstitials released during the ramp-up of the spike anneal.
Consequently, interstitials from ion implantation are available for B diffusion at a lower
temperature, where they are more effective for enhancement of B diffusion.
Figure 25 illustrates the effect of increasing the interstitial dissolution rate. The diffusion in
the tail region is widely driven by B atoms, which are substitutional at the beginning of the
anneal. These diffuse more if Ikr is increased. Another effect of increasing Ikr is that most
of the interstitials have already recombined before a substantial part of the B clusters that
are near the surface are dissolved.
As a consequence, slightly less boron can diffuse out of the B clusters, as can be seen in
the red line of Figure 25. By changing the rate for interstitial cluster dissolution and B cluster
dissolution (green line in Figure 25), you can modify the B tail almost independently from the
highly doped region.

Figure 25 Effect of interstitial cluster dissolution rate Ikr on the final shape of a boron profile
after 1 keV 1015 cm–2 B implantation and spike annealing at 1050°C
1021
Ikr increased by factor 2.5, B CluRate increased s
Ikr increased by factor 2.5
Default
1020
Boron Concentration [cm−3]

1019

1018

1017

1016
0 0.02 0.04 0.06 0.08
Depth [µm]

Preamorphization Implantation
If boron ultrashallow junctions are formed using a preamorphization implantation (Ge or Si),
the accuracy can be reduced by an inaccurate calculation of the initial amount of interstitials
in the structure. In this situation, you can consider adjusting the ifactor for Ge or Si
implantation, for example, by defining a procedure ifactor_Germanium. Since Ge has a
similar mass as arsenic, a high value for ifactor (2–10) can be justified, as illustrated for
an amorphizing As implantation in Figure 6 on page 86.

Advanced Calibration for Process Simulation User Guide 151


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Accuracy and Limitations of Advanced Calibration of Sentaurus Process

BIC Model
By executing the procedure AdvancedModels (see Part 4: Comprehensive and Slow Models
on page 96), you can switch on the ChargedCluster BIC model for boron. Its disadvantage
– six equations must be solved instead of a single equation – is sometimes compensated by
an increased accuracy of results.
With Advanced Calibration default parameters, all clustered boron in recrystallized regions
is initially placed into B2 clusters. Possibly, as BICs can be energetically more favorable than
B clusters without interstitials bound inside, it can be beneficial to put some of the B into B3I
clusters after implantation. This will affect B activation kinetics and TED (by the release of
interstitials during dissolution of BICs). For example:
pdbSetDouble Si B2 FractionAmor 0.8 ;# default 1.0
pdbSetDouble Si B3I FractionAmor 0.2 ;# default 0.0

Boron Dose Loss


The parameters for the three-phase segregation model have been calibrated using SIMS
data from B implantation and annealing experiments. In most situations, the dose loss of B
into thermal oxide is accurately described. After BF2 implantation, the dose loss of B is
increased. This is taken into account by increasing the diffusivity of B in the oxide as a
function of F concentration.
In device manufacturing, thermal oxide is not the only dielectric material used. In oxynitride,
which is frequently used in gate dielectrics, the boron diffusivity is typically reduced,
depending on nitrogen concentration. Deposited oxides can contain hydrogen atoms, which
drastically increase the diffusivity of B in oxide. This might be important in devices with oxide
spacers that are capped by a silicon-nitride layer, which can act as a barrier for hydrogen
out-diffusion. Since B dose loss strongly depends on the type of oxide present, dose loss
parameters such as CMax are often used for technology-specific fine-tuning. This also
applies to other dopants.

Boron-Doped Epitaxial SiGe Films With Boron Implantation


Boron-doped SiGe regions are used widely as contact areas in PMOS devices. They can be
fabricated by epitaxial growth of SiGe films with a high concentration of B. Sometimes, the
surface-near concentration of B is further increased by ion implantation of B into the
B-doped SiGe contact area.
This section presents recommendations for accurate modeling of such process recipes.

Choice of Models
In general, for process simulations of devices with strained SiGe regions and very shallow
B profiles, the following choice of models is recommended:
AdvancedCalibration 2024.03

Advanced Calibration for Process Simulation User Guide 152


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Accuracy and Limitations of Advanced Calibration of Sentaurus Process

pdbSet Si B DiffModel ChargedReact


SiGe_and_Stress_Effect 1 1 1 0

Epitaxy and Initial Conditions After Epitaxy


The epitaxy itself can be modeled by using a diffuse command with the keyword Epi. By
default, a moving-boundary algorithm is used, and the initial maximum active concentration
of boron in the epitaxially grown film is defined according to the parameter EpiInit. By
default, EpiInit=4e20. Often, for the process simulation of B-doped SiGe, the value of
EpiInit must be reduced to slightly smaller values, for example, 3.25e20.

For computational efficiency, the process simulation of epitaxial growth of a film is often
mimicked by a combination of a deposition step and a subsequent thermal anneal. In that
case, the value of EpiInit is ignored. Instead, you can define the concentration of active
and clustered boron in the deposit command. For example, a 10-minute epitaxial growth of
10 nm of boron-doped SiGe at 700°C, with chemical B and Ge concentrations of 1.325e21
and 1.5e22, respectively, could be modeled by the following commands:
deposit Silicon \
[Link]= { Boron= 3.25e20 B4= 2.5e20 Germanium= 1.5e22 } \
thickness= 0.01 temperature=700

diffuse temp= 700 time= 10

In these commands, the initial active concentration of B in the epitaxial film is set to 3.25e20.
After growth of the epitaxial film, a thin native oxide layer will form. In the process simulation,
you should deposit a thin oxide on top of the epitaxial film before simulating post-epi
implantation and anneal steps.

Recommendations for Calibration


Without dedicated fine-tuning of parameters, for recipes with B implantation into B-doped
SiGe films, the process simulation often overestimates the diffusion of B and
underestimates the electrical activation of B during post-implantation annealing.
To develop and test recommendations for fine-tuning, a dedicated set of experiments on
B-doped SiGe films was designed by Synopsys and executed by Applied Materials. The set
included experimental splits in Ge and B concentrations, in implantation conditions, and in
post-anneal treatments, and it allowed a thorough comparison of process simulation results
to SIMS and sheet resistance measurements. From this analysis, the following
recommendations for specific parameter fine-tuning for processes with B-doped SiGe
epitaxial films with B implantation and annealing have been derived (in order of importance):
• The interstitial factor ifactor_Boron typically must be reduced from 1.0 to a much
smaller value (for example, 0.1 or 0.2) for B implantation into highly B-doped SiGe. This
helps to reduce B diffusion after implantation, and it prevents overestimating the
deactivation of B by formation of B clusters. (In the Advanced Calibration standard model

Advanced Calibration for Process Simulation User Guide 153


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Accuracy and Limitations of Advanced Calibration of Sentaurus Process

for B4 clusters, the presence of interstitials increases the rate at which B4 clusters form.)
For example:
ifactor_Boron { Energy Dose } { return 0.1 }

• Inside the SiGe epitaxial film, the parameter Ikr, which governs the rate at which
interstitials are released from interstitial clusters, might need to be reduced. You can
reduce the bowing parameter Ikr.X2 without impacting the cluster stability in pure Si
regions. In Advanced Calibration, with the SiGe_and_Stress_Effect 1 1 1 0
statement, Ikr.X2 is set to 1e-4, and logarithmic mole fraction interpolation is applied.
An even smaller value might be needed. In the Synopsys calibration against hardware
data, good results were obtained with:
pdbSetDouble SiGe ICluster Ikr.X2 {[Arr 1.22e-24 -3.33]}

• The initial active B concentration after growth of the epitaxial film might need to be
reduced from 4.0e20 to a slightly smaller value, for example, 3.25e20.
• The initial active concentration after B implantation might need to be reduced in SiGe. By
default, the value is small in Si (2.443e18) but very high in Ge (20% of the implanted B
concentration plus 5e17). Mole fraction interpolation for SiGe might result in a too high
value in the region of the B-doped epitaxial film. It might be better to enforce a small
value for all mole fractions by defining:
fproc acinit_Boron { Energy Dose } { return 2.443e18 }

Following these recommendations (perhaps with some fine-tuning of parameter values)


typically leads to good results for B diffusion and B activation in processes with B-doped
SiGe epitaxial films. If the recommendations are insufficient for your technology, then
consider an additional fine-tuning of the parameters CluRate.X2, [Link].X2,
or [Link].X2, which govern the Ge mole fraction interpolation of the B
cluster formation and dissolution rate, and the incorporation of self-interstitials in B clusters
in SiGe.
It is not recommended to use the AdvancedModels procedure for the process simulation of
B-doped SiGe films with B implantation. This procedure considers a larger number of cluster
types for B clusters and self-interstitial clusters and, therefore, contains a larger number of
parameters. The calibration for a specific application is more difficult.
In the particular case of B-doped SiGe films with B implantation and subsequent annealing,
it was found that the Advanced Calibration standard models in combination with the
previously described recommendations give a better match to the hardware data than
AdvancedModels in combination with the recommendations, after a similar dedicated
calibration.

Advanced Calibration for Process Simulation User Guide 154


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Accuracy and Limitations of Advanced Calibration of Sentaurus Process

Arsenic Diffusion and Activation


The diffusion of arsenic in silicon is well calibrated for a wide range of process conditions,
including the formation of ultrashallow junctions.
Only a few accuracy issues are known:
• The model for arsenic diffusion and clustering is not very accurate for extremely high
arsenic surface concentrations, which might occur after high-dose implantation with very
low implantation energy (for example, 2×1015 cm–2 at an energy of 1 keV and less).
Sometimes, for modeling TED after high-dose low-energy As implantation, the value of
ifactor must be reduced for As implantation. This might also be needed when As is
implanted into an epitaxial film with a high concentration of P.
• In some situations, it is useful to perform additional fine-tuning of arsenic dose loss. In
particular, the parameter CMax, which specifies the concentration of arsenic atoms that
can be built into the interface layer, can be considered for the fine-tuning of As
ultrashallow junctions (see Figure 26).

Figure 26 Effect of CMax on simulation result for arsenic USJ formation; graphs were
calculated for 1.5 keV 1015 cm–2 As implantation, followed by a spike anneal at
1050°C (simulations performed with Advanced Calibration 2021.06)

As-implanted
CMax = {[Arr 1.00e15 0.2]}
1021 CMax = {[Arr 2.64e15 0.2]} (default)
CMax = {[Arr 6.00e15 0.2]}
Arsenic Concentration [cm−3]

1020

1019

1018

1017
0 0.01 0.02
Depth [µm]

For very shallow arsenic profiles, the steepness of the slope can be overestimated with the
ChargedPair diffusion model. A flatter slope can be obtained with the ChargedReact
model. Within the ChargedReact model, you can even adjust the steepness by tuning the
arsenic–defect migration distance lambda.

Advanced Calibration for Process Simulation User Guide 155


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Accuracy and Limitations of Advanced Calibration of Sentaurus Process

Figure 27 shows a comparison for an As ultrashallow junction, calculated with the


ChargedPair model and the ChargedReact model with default parameters. Note that SIMS
data tends to underestimate the slope of very steep profiles because, during the SIMS
measurement itself, the profile is flattened due to the ‘knock-on’ effect.

Figure 27 Comparison of simulation results with ChargedPair and ChargedReact models for
As USJ formation; graphs calculated for 1.5 keV 1015 cm–2 As implantation,
followed by spike anneal at 1050°C (simulations performed with Advanced
Calibration 2021.06)

As-implanted
ChargedReact (five-stream)
1021 ChargedPair (three-stream)
Arsenic Concentration [cm−3]

1020

1019

1018

1017
0 0.01 0.02
Depth [nm]

Arsenic-Doped Epitaxy
In NMOS FinFETs, epitaxial growth of a thin source–drain extension layer with very high
(1.4×1021 cm–3) As doping has been proposed by Mochizuki et al. [15]. The calibration of As
diffusion at such extremely high concentrations is not covered by AdvCal_2024.[Link].
At such high concentrations, a large fraction of the As atoms is expected to be in electrically
inactive clusters. For the process modeling of As-doped epi with very high As
concentrations, two concepts might need to be considered.
First, similar to P clusters, it is possible that As clusters diffuse with a small diffusivity,
leading to some broadening of the As distribution after epitaxy and subsequent anneals. The
diffusion mechanism and the diffusivity for As clusters is unknown and needs to be adjusted
to corresponding SIMS data. By default, As clusters are immobile.

Advanced Calibration for Process Simulation User Guide 156


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Accuracy and Limitations of Advanced Calibration of Sentaurus Process

The following statements demonstrate how you could add diffusion of As3 clusters in Si to
the models of Advanced Calibration. A term that describes diffusion of As3 clusters is added
to the equation, which is solved for As3 clusters:
pdbSetDouble Si As As3_diff <value> ;# diffusivity
pdbSetString Si As3 EquationProc Mobile_As3
fproc Mobile_As3 { mat sol } {
SubEqnTerm $mat $sol "[pdbGet $mat As As3_diff]*grad(As3)"
}

This simple example does not include Fermi-level or concentration dependence of As3
diffusion. To include Fermi-level dependence, replace grad(As3) with "Noni*grad(As3/
Noni)". Here, the term Noni is used and represents the ratio n/ni, where n is the electron
concentration and ni is the intrinsic electron concentration. With this replacement in the
example, As3 would diffuse with a single negative charge and, therefore, As3 diffusivity
would effectively increase in regions of high electron concentration.
You can go one step further and assume that As3 clusters diffuse by “As3–vacancy pair
diffusion.” The implementation of such diffusion follows the implementation for P3–vacancy
pair diffusion, as implemented in the Advanced Calibration file. For example:
pdbSetDouble Si As As3_diff {[Arr 56
3.55]}
;# macroscopic diffusivity of As3
pdbSetString Si As3 EquationProc Mobile_As3 ;# (80% of P3 diffusivity)
fproc Mobile_As3 { mat sol } {
SubEqnTerm $mat $sol "[_MoleFraction::Param $mat As As3_diff]*Noni*
grad(As3*ScaleVac/Noni)"
SubEqnTerm $mat Vac "[_MoleFraction::Param $mat As As3_diff]*Noni*
grad(As3*ScaleVac/Noni)"
}

Second, to model P diffusion through a region of extremely high As concentration, a


dedicated calibration of the impact of As on P might be needed (see Co-diffusion of Arsenic
and Phosphorus on page 163). This can be done as follows:
1. Redefine the PhosphorusIntDiffFactorDopant, PhosphorusVacDiffFactorDopant,
and P3DiffFactor factors. These factors are used in Advanced Calibration to modify
the diffusion of P in regions of high As concentration. The calibration of these factors is
based on data for As–P co-diffusion after implantation, where As concentration is
typically smaller than 1.4×1021 cm–3.
2. Consider using mixed As3P clusters. These are helpful if SIMS data indicates that the P
concentration has a peak at the region of highest As concentration. The equations for the
reaction As 3 + P  As 3 P are already defined in Advanced Calibration (see As–P
Clusters in Silicon on page 51). A tuning of the parameters As3P_k1 and As3P_k2 might
be needed.
3. Consider using As6PI clusters, which form and dissolve by the reaction
2 As 3 + PI  As 6 PI . With such a reaction, mobile PI pairs can be trapped in As6PI
clusters in regions of very high As3 cluster density. This can be useful for tuning the P tail

Advanced Calibration for Process Simulation User Guide 157


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Accuracy and Limitations of Advanced Calibration of Sentaurus Process

diffusion through As-doped epilayers for different process conditions. As6PI clusters are
not predefined in Sentaurus Process or in AdvCal_2024.[Link], so it requires some
effort to use them. In section [Link] of AdvCal_2024.[Link], you can find the Alagator
code for modeling P7I clusters, which form and dissolve by a similar reaction
2 P 3 + PI  P 7 I . This code can be used as a reference for an Alagator implementation
for As6PI clusters.
In 2D or 3D simulations, epitaxy simulation can be time-consuming. It can be replaced by
the simulation of a deposition and a thermal anneal, which has the same thermal budget as
the epitaxy step. This is described in Phosphorus-Doped Epitaxial Silicon on page 159.

Phosphorus Diffusion and Activation


The calibration of diffusion of phosphorus in silicon covers a wide range of process
conditions, including USJ formation and phosphorus well anneals. Phosphorus has a higher
solid solubility than arsenic.
For very high-dose and very low-energy ion implantation (for example, 5×1015 cm–2, 2 keV),
where phosphorus concentrations close to 1022 cm–3 are reached, the Transient model
with P3 clusters underestimates the stability of P clusters. In simulations where the P peak
concentration in Si exceeds 1e21, it is often helpful to include a second type of cluster in the
model, by using the command Use_P7I (see Phosphorus Clusters on page 49).
In addition, it can be helpful to reduce ifactor, for high-dose low-energy P implantation, to
a value smaller than 1.
Furthermore, if P implantation at low implantation energies is followed by low-temperature
thermal annealing, then the uphill diffusion of P toward the surface has been observed in
experiments [16]. Uphill diffusion is not reproduced with the model for P in Advanced
Calibration.

Phosphorus Diffusion in Silicon


In Advanced Calibration, at high donor concentrations, the diffusivities are modified by the
PhosphorusIntDiffFactor and PhosphorusVacDiffFactor correction factors, as
explained in Arsenic–Phosphorus Co-Diffusion on page 53.
By default, the phosphorus diffusion model is ChargedPair. For FinFET or advanced
CMOS technology (gate length < 65 nm), if phosphorus is used for source/drain, LDD, or
pocket implantation, it is recommended to use the ChargedReact model. It can be switch on
using:
pdbSet Si P DiffModel ChargedReact

In the ChargedReact model, to fine-tune the steepness of an almost perfectly exponential


diffusion tail, typical for post-implantation TED at low temperatures, you can consider

Advanced Calibration for Process Simulation User Guide 158


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Accuracy and Limitations of Advanced Calibration of Sentaurus Process

adjusting the parameters lambdaK, which govern the average distance between the
formation and dissolution of P–I and P–V pairs. The default values are:
pdbSet Si P Int lambdaK {[Arr 1.1e-8 -0.40]}
pdbSet Si P Vac lambdaK {[Arr 5.0e-9 -0.33]}

Phosphorus diffusion during oxidation is enhanced because of interstitial injection at the


oxidizing silicon surfaces. To fine-tune phosphorus diffusion during oxidation, first consider
adjusting the parameter theta, which scales the injection of interstitials at surfaces during
oxidation.

Phosphorus Activation in Silicon


In Advanced Calibration, activation and deactivation of P are modeled by the Transient
model, in which the only P clusters formed are P3. By default, in the Transient model,
interstitial supersaturation has no affect on the formation and dissolution of P3 clusters. In
real silicon, a high supersaturation of Si self-interstitials can influence the rate of P cluster
formation.
To fine-tune P clustering in post-implantation anneals with low thermal budget and high
interstitial supersaturation, the Transient model for P can be tuned in a similar way as for
B, by modifying forward (or backward) reaction rates with terms.
For example, the P cluster formation rate will increase because of interstitial
supersaturation, when defining:
term Si name=PhosphorusTClusterForwardFac store add \
eqn= "((Int+1e10)/(EqInt+1e10))^0.2"

Here, Int is the interstitial concentration, EqInt is the equilibrium concentration of


interstitials, and 1e10 (or a similar number) is used to avoid too extreme values at very low
temperatures, where EqInt is very small.

Phosphorus Dose Loss at Oxide–Silicon Interfaces


As in the case of arsenic, a useful parameter for the fine-tuning of P segregation is CMax.

Phosphorus-Doped Epitaxial Silicon


For advanced NMOS devices, contact area formation frequently includes epitaxial growth of
silicon films, which are highly doped with phosphorus.
The epitaxy itself can be modeled by a diffuse command with the keyword Epi. By default,
a moving-boundary algorithm is used. In three dimensions, this might not be fast and stable
enough. You can replace it with a sequence of alternating doped deposition and inert
annealing steps, by using either [Link]=1 or an explicit sequence of deposit and
diffuse commands.

Advanced Calibration for Process Simulation User Guide 159


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Accuracy and Limitations of Advanced Calibration of Sentaurus Process

During epitaxy, you have a bare gas–Si interface. The vacancy surface recombination
velocity at gas–Si interfaces affects the vacancy supply at the gas–Si interface and,
therefore, the amount of P diffusion during epitaxy.
Since Version S-2021.06, fast vacancy recombination at such interfaces is used. In contrast,
in previous versions of Advanced Calibration, a very slow vacancy recombination at gas–Si
interfaces was assumed. Because of new vacancy surface boundary conditions, the amount
of P diffusion during epitaxial growth of P-doped films is different between Advanced
Calibration Version S-2021.06 and older versions. Other parameters that affect P diffusion
during epitaxy, such as the diffusivity of P by using P–I pairs or P3–V complexes, or
clustering of P, were not changed from Advanced Calibration Version R-2020.09 to
Advanced Calibration Version S-2021.06.
The impact of updated vacancy boundary conditions on P diffusion during epitaxy has been
analyzed. In general, the increased vacancy recombination at gas–Si interfaces is an
improvement (see Surface Boundary Conditions on page 141). For P-doped epi, however,
for some of the recipes investigated, including P data published by Li et al. [17], the tail
diffusion of P during the growth of the epitaxial film is overestimated in simulations with
Advanced Calibration Version V-2024.03, due to the update of vacancy boundary conditions
at gas–Si interfaces.
You should adjust the diffusivity of P3–V pairs for the fine-tuning of P diffusion during epitaxy.
For example, consider changing the diffusivity of P through P3–V pairs from its Advanced
Calibration default value of:
pdbSetDouble Si P P3_diff {[Arr 0.066 2.8]}

to:
pdbSetDouble Si P P3_diff {[Arr 70 3.55]}

This combined change of the Arrhenius prefactor and the Arrhenius energy would reduce
the corresponding diffusivity at 700°C (typical temperature for Si epitaxy) to approximately
14% of the original value, while preserving the diffusivity at 976°C (a typical spike anneal
temperature). Figure 28 shows the impact of this change on P diffusion during epitaxy.
After epitaxy is completed and before subsequent thermal anneals, it is recommended to
deposit a thin native oxide onto bare Si surfaces. This is needed to model dopant dose loss
from Si into oxide–Si interface layers with the ThreePhaseSegregation model. At bare
gas–Si interfaces, this model cannot be used.

Advanced Calibration for Process Simulation User Guide 160


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Accuracy and Limitations of Advanced Calibration of Sentaurus Process

Figure 28 Simulated P profiles after growth of a P-doped epitaxial film, mimicked by deposition
and subsequent anneal. Film thickness: 28 nm and total P concentration in the film:
2.25×1021 cm–3. Thermal budget of the epitaxy: 10 minutes at 700°C. The TCAD
results with Advanced Calibration 2020.09 and 2021.06 differ because of the higher
vacancy surface recombination rate at gas–Si interfaces in Version S-2021.06. The
tail diffusion during epitaxy can be adjusted by fine-tuning the diffusivity of P3
clusters by using P3–V pairs at low temperatures. In these simulations, the
ChargedReact model was used for phosphorus diffusion, and stress effects were
switched on by SiGe_and_Stress_Effect 0 1 1 0.

AdvancedCalibration 2021.06; P3_diff=[Arr 70 3.55] r


1021 AdvancedCalibration 2021.06
Phosphorus (Total) [cm−3]

AdvancedCalibration 2020.09

1020

1019

1018

1017
−0.02 0 0.02 0.04 0.06
Depth [µm]

Choice of Models
Often, the P concentration in epitaxially grown Si is very high (> 1021cm–3). In this case, to
model P diffusion and activation as well as strain relaxation, it is recommended to use the
model for P7I clusters (see Phosphorus Clusters on page 49). The following choices of
Advanced Calibration are recommended for P-doped epitaxial silicon:
SiGe_and_Stress_Effect 0 1 1 0 ;# or 1 1 1 0 in presence of SiGe
pdbSet Si P DiffModel ChargedReact
Use_P7I

P and P3 clusters cause tensile strain. The formation of P7I clusters by the reaction
P 3 + P 3 + PI  P 7 I comes along with strain relaxation. PI pairs are trapped in this reaction.
The trapping of PI pairs reduces the P tail diffusion. If the epitaxial film includes interstitial
clusters (either by imperfect epitaxial growth or after an implantation), then some of the
interstitials released from these clusters will be captured by this formation of P7I clusters.
For processes in which epitaxial films are grown with many defects and small tensile strain,
you can consider performing the process simulation without the P7I model and with reduced
strain (reduced value of [Link]) from P and P3 clusters.

Advanced Calibration for Process Simulation User Guide 161


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Accuracy and Limitations of Advanced Calibration of Sentaurus Process

Phosphorus Dose Loss After Epitaxy


In processes that do not include implantation after epitaxial growth of a P-doped film, the
dose loss of P into native oxide is typically less than in processes with implantation.
Probably, a part of the usual P dose loss only occurs in the presence of some implantation
damage at the oxide–Si interface. According to Synopsys’ tests, this is especially true for the
initial dose loss, governed by the parameter CMax. In contrast, the concentration of P that
can be trapped in P2 pairs formed at the interface does not show a strong sensitivity to
implantation damage. In processes without implantation into P-doped epi, it is
recommended to reduce CMax drastically. For example:
pdbSet Ox_Si P CMax {[Arr 2e+15 0.50]} ;# Default is {[4.6e+16 0.5]}

By redefining the term PhosphorusCMaxFactor, it is possible reduce phosphorus dose loss


only in device regions that are free of implantation damage.

Initial Conditions and Phosphorus Tail Diffusion


The calibration of the P7I model in Advanced Calibration is partially based on experimental
data (SIMS, sheet resistance, and strain measurements) for highly strained
phosphorus-doped epitaxial films from Applied Materials [17]. These have been measured
on planar samples with very good quality (high tensile strain after epitaxy; no grown-in
defects; epi-temperature close to 700°C).
The default initial conditions after epitaxy are:
• The epitaxially grown layer is free of defects.
• P is initially active up to a concentration of 2e20 (value of Si P EpiInit). This value
depends on growth conditions and might need to be adjusted. Typical values for
EpiInit are in the range 8e19–2.5e20. Ideally, the value can be determined from a
sheet resistance measurement of the as-grown epitaxial film.
• All excess P is put into P3 clusters.
• No P is initially put into P7I clusters.
Apparently, this quality is not always obtained in epitaxy for highly doped P. Comparing data
from various sources has revealed some variability in the P tail diffusion for very similar
nominal process conditions. Data from some sources showed more P tail diffusion than the
data reported in [17]. Possibly, this was driven by grown-in defects from which silicon
self-interstitials were released during annealing. More imperfections should be expected
when the P concentration and corresponding tensile strain are very high.
To model grown-in defects in epilayers, add interstitial clusters (ICluster). By default, such
clusters have the properties of {311} defects, but in P-doped epi, they might differ in nature,
and the dissolution rate of {311} defects might not be applicable. Depending on growth
conditions and the P concentration of the epitaxial film, typical concentrations of interstitial
clusters to be added are in the range 1018 to 5×1019 cm–2. The higher the P concentration

Advanced Calibration for Process Simulation User Guide 162


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Accuracy and Limitations of Advanced Calibration of Sentaurus Process

in the epitaxial film, typically the higher the concentration of grown-in defects. To adjust the
fraction of defects that dissolves already during epitaxy, change the dissolution rate during
epitaxy (pdbSet Si ICluster Ikr <value>).
It is also possible to put a fraction of P into P7I clusters, as the initial condition. In the model,
P7I clusters cannot capture PI pairs. In addition, they compensate the tensile strain
generated by P and P3. This option might be advisable if, otherwise, the tensile strain is too
high compared to measurement at the end of the process simulation. (The final strain is
passed on to the device simulation where it affects, for example, electron mobility. If
necessary, the strain in P-doped epilayers can also be reduced directly by reducing the
values of [Link] for strain induced by P, P3, and P7I.)
For special recommendations when P-doped epi is grown on regions with high As doping,
see Arsenic-Doped Epitaxy on page 156 and Co-diffusion of Arsenic and Phosphorus on
page 163. The recommendations given for the possible diffusion of As by using As3 clusters
described in Arsenic-Doped Epitaxy on page 156 also apply to the modeling of As diffusion
in P-doped epitaxial films.
Some recipes include a P implantation into a P-doped epitaxial film to achieve even higher
surface concentrations of P. For very shallow P implantations, a large fraction of excess
interstitials created by implantation will be captured by the reaction P + I  PI , followed by
PI + P 3 + P 3  P 7 I . Only the remaining excess interstitials contribute to the diffusion tail of
P. Currently, there is insufficient experimental data to test the model predictions for all
possible recipes combining epitaxy, implantation, and post-epi anneals. In the few cases
investigated, scaling the number of excess interstitials by increasing ifactor (for example,
from 1.0 to 3.0) allowed a successful tuning of the P tail diffusion in thermal anneals after
epitaxy and implantation.
Finally, to adjust the steepness of the P diffusion tail, consider adjusting the PI pair migration
distance, specified by pdbSet Si P Int lambdaK <value>.

Co-diffusion of Arsenic and Phosphorus


The physics of the co-diffusion of As and P in high concentration in silicon is very complex
for several reasons:
• The concentration of point defects is affected by the diffusion of As–I, As–V, P–I, and P–
V pairs.
• The recombination of point defects in the presence of As and P is not precisely known.
• Arsenic and phosphorus can form mixed clusters.
• The Fermi-level dependence of P diffusivity has a large influence, but it is not known with
high precision from the literature.

Advanced Calibration for Process Simulation User Guide 163


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Accuracy and Limitations of Advanced Calibration of Sentaurus Process

• Arsenic diffusivity sharply increases at concentrations of n-type dopants (As or P) higher


than 2×1020 cm–3. Possible reasons for the increased As diffusion are:
(a) Diffusion is through small mobile clusters.
(b) Percolation-type diffusion of As–V pairs, where vacancies can hop from one donor
atom to neighboring donor atoms.
The calibration of the co-diffusion of As and P is considered a good starting point for
technology calibration, but it is not predictive for all possible process conditions. Depending
on the process window of interest, different methods of parameter fine-tuning will result in a
good overall agreement.
The following approaches have been identified to be suitable for such fine-tuning. They are
listed in order of importance:
• Modify the number of As or P trapping sites at the Si–SiO2 interface (CMax). This will
increase or decrease the dose loss.
• Modify the impact of As on P trapping sites at the Si–SiO2 interface
(PhosphorusCMaxFactor and P2trapMaxFactor). This will change the dose loss of P in
the presence of As.
• Modify the PhosphorusVacDiffFactorDopant and PhosphorusIntDiffFactorDopant
terms.
• Modify the number of interstitials created by ion implantation (by changing ifactor or
dfactor for P or As implantation).

• Switch on the ChargedReact model for phosphorus. When the ChargedReact model is
switched on for P, the migration distance of P–I pairs (pdbSet Si P Int lambdaK) can
be fine-tuned to adjust the steepness of the tail of the P profile outside the region with
high As doping.
• Modify the ArsenicVacDiffFactorDopant and ArsenicTClusterBackwardFac terms.
• Create a term PhosphorusTClusterBackwardFac to enforce an influence of As on P
cluster dissolution. For example:
term Si name=PhosphorusTClusterBackwardFac store add \
eqn= "2.e19/(2e19+AsActive)"

The impact of these parameters is illustrated in Figure 29 to Figure 34. All of these have
been calculated for the following process recipe for As–P co-diffusion:
• P implantation: Dose 2×1015 cm–2, energy 8 keV
• As implantation: Dose 3×1015 cm–2, energy 25 keV
• Spike RTA with peak temperature 1050°C

Advanced Calibration for Process Simulation User Guide 164


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Accuracy and Limitations of Advanced Calibration of Sentaurus Process

In each figure, the straightforward simulation result, obtained with AdvCal_2021.[Link], is


compared to the result of a simulation in which one parameter for arsenic or phosphorus has
been changed from the default.

Figure 29 As–P co-diffusion: Red lines show impact of increasing the dose loss of P in the
presence of As. In this example, for the red curve, the PhosphorusCMaxFactor term
changed to (1.8e14/(1.8e14+Arsenic)), and the P2trapMaxFactor term changed to
(2.5e14/(2.5e14+Arsenic)). The dose loss of P at the Si–SiO2 interface is reduced,
but the impact on the profile tails is relatively small.

Increased P dose loss in presence of P


f
1021 AdvancedCalibration: P
AdvancedCalibration: As

1020
Concentration [cm−3]

1019

1018

P 8 keV, 2e15 + As 25 keV, 3e15 + spike anneal 1050°C


1017
0 0.05 0.1 0.15
Depth [µm]

Advanced Calibration for Process Simulation User Guide 165


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Accuracy and Limitations of Advanced Calibration of Sentaurus Process

Figure 30 As–P co-diffusion: Red lines show impact of changing the term
PhosphorusIntDiffFactor as a function of As concentration. For this plot, the factor
PhosphorusIntDiffFactorDopant was reduced from “([Arr 2.2e25 1.5]+[Arr 4.2e31
3.0])/([Arr 2.2e25 1.5]+[Arr 4.2e31 3.0]+AsAs3)” (Advanced Calibration default) to
“([Arr 2.2e25 1.5]+[Arr 4.2e31 3.0])/([Arr 2.2e25 1.5]+[Arr 4.2e31 3.0]+3.0*AsAs3)”.
As a consequence, the diffusion tail for P shifts towards a lower concentration, while
the As profile and the surface-near region of the P profile have hardly changed.

PhosphorusIntDiffFactorDopant reduced in As region


1021 AdvancedCalibration: P
AdvancedCalibration: As

1020
Concentration [cm−3]

1019

1018

P 8 keV, 2e15 + As 25 keV, 3e15 + spike anneal 1050°C


1017
0 0.05 0.1 0.15
Depth [µm]

Figure 31 As–P co-diffusion: Red lines show impact of changing the term
PhosphorusVacDiffFactor as a function of As concentration. For this plot, the factor
PhosphorusVacDiffFactorDopant increased from “([Arr 2.7e22 0.5]/([Arr 2.7e22
0.5]+AsAs3))” to “1.0”. There is more P diffusion, but not in the P tail, which is
dominated by P–I pair diffusion.

PhosphorusVacDiffFactorDopant increased in As region


1021 AdvancedCalibration: P
AdvancedCalibration: As

1020
Concentration [cm−3]

1019

1018

P 8 keV, 2e15 + As 25 keV, 3e15 + spike anneal 1050°C


1017
0 0.05 0.1 0.15
Depth [µm]

Advanced Calibration for Process Simulation User Guide 166


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Accuracy and Limitations of Advanced Calibration of Sentaurus Process

Figure 32 As–P co-diffusion: Red lines show impact of decreasing the number of interstitials
created by As implantation. The ifactor for As implantation was reduced from 8.7
(Advanced Calibration default for this condition) to 3.0. Phosphorus diffusion is
reduced (in particular in the tail region).

Reduced ifactor for As implantation


1021 AdvancedCalibration: P
AdvancedCalibration: As

1020
Concentration [cm−3]

1019

1018

P 8 keV, 2e15 + As 25 keV, 3e15 + spike anneal 1050°C


1017
0 0.05 0.1 0.15
Depth [µm]

Figure 33 As–P co-diffusion: Magenta line shows impact of using the ChargedPair diffusion
model for P instead of the ChargedReact model. With the ChargedReact model, the
tail of the P profiles can be made flatter by increasing the migration distance of P–I
pairs. In the red line, the corresponding parameter lambda has been increased by
a factor of 2. (Using the ChargedReact model has a larger impact on thermal
anneals at lower temperature.)
ChargedReact model for P, lambda of P−I pairs increased
1021 ChargedPair model for P
AdvancedCalibration: P
AdvancedCalibration: As

1020
Concentration [cm−3]

1019

1018

P 8 keV, 2e15 + As 25 keV, 3e15 + spike anneal 1050°C


1017
0 0.05 0.1 0.15
Depth [µm]

Advanced Calibration for Process Simulation User Guide 167


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Accuracy and Limitations of Advanced Calibration of Sentaurus Process

Figure 34 As–P co-diffusion: Red lines show impact of reducing the term
ArsenicTClusterBackwardFac from “1.0+PActive/1e20” to “1.0”. Arsenic clusters
become more stable in the region with high P co-doping.

Arsenic cluster stability not reduced by P


1021 AdvancedCalibration: P
AdvancedCalibration: As

1020
Concentration [cm−3]

1019

1018

P 8 keV, 2e15 + As 25 keV, 3e15 + spike anneal 1050°C


1017
0 0.05 0.1 0.15
Depth [µm]

Indium Diffusion and Activation


This section discusses indium diffusion and activation.

Nonamorphizing Condition
The calibration of indium diffusion in silicon and dose loss is very accurate for
nonamorphizing conditions and usually does not require additional fine-tuning.
Since the acceptor level of indium is far from the valence band, consider using the
IncompleteIonization model for indium in device simulations. Sometimes, at medium
concentrations (1017 cm–3 – 5×1018 cm–3), the amount of indium in electrically active
substitutional positions is overestimated in the process simulation.

Amorphizing Ion Implantation


If amorphous layers are created by ion implantation, the behavior of indium is more
complicated and cannot be modeled correctly with the chosen set of models in the
Advanced Calibration. Several things might happen:
• Indium is swept out of silicon during solid phase epitaxial regrowth (SPER) of the
amorphous layer [18].

Advanced Calibration for Process Simulation User Guide 168


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Accuracy and Limitations of Advanced Calibration of Sentaurus Process

• Indium might segregate to end-of-range defects [19].


• If a high concentration of indium is left in silicon after SPER, then clusters might form due
to the low solid solubility of indium.
The first effect is responsible for a pronounced increase of indium dose loss with increasing
indium dose [20] for NMOS channel doping, when the indium dose is above the
amorphization threshold. The procedure AdvancedSPERModel can be called to switch on a
physics-based description of this effect (see Solid Phase Epitaxial Regrowth on page 103).
An alternative, simple possibility for mimicking the sweep-out effect is to cut the indium
concentration manually in amorphous regions, immediately after the ion implantation, using
the select command of Sentaurus Process.

SPER Model Usage


The SPER phase field model can be used to simulate indium redistribution in the
amorphized silicon region. In the procedure AdvancedSPERModel, a general calibration is
provided for the doping or impurity redistribution, but additional calibration might be required
in certain cases. For solutions to be redistributed during SPER, the diffusivity in a-Si (DAmor)
and the segregation energy ([Link]) must be defined. Nonzero diffusivities allow for
diffusion in a-Si, while nonzero segregation energies allow for the snow plow effect by a
semipermeable a/c interface. Figure 35 shows the sensitivities of these parameters.

Figure 35 Simulation of (left) incomplete and (right) complete recrystallization with indium
redistribution during SPER for PAI + In 90 keV 4e13 cm–2 implantation and anneal
at 600°C. The influence of [Link] on the indium redistribution is shown for
the calibrated value (green) and the doubled value (red). A similar influence has
DAmor giving almost the same simulation results for the calibrated and the doubled
value (not shown here).
annealed (SIMS) annealed (SIMS)
1021 1021
annealed ([Link]=2.8) annealed ([Link]=2.8)
annealed ([Link]=5.6) annealed ([Link]=5.6)
as-implanted (SIMS) as-implanted (SIMS)
1020 1020
as-implanted as-implanted
Concentration [cm−3]

Concentration [cm−3]

1019 1019

1018 1018

1017 1017

1016 1016

0 50 100 150 0 50 100 150


Depth [nm] Depth [nm]

Advanced Calibration for Process Simulation User Guide 169


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Accuracy and Limitations of Advanced Calibration of Sentaurus Process

As stated in Solid Phase Epitaxial Regrowth on page 103, a decent fine mesh (1–2 nm
spacing) in the amorphized region is required by the phase field model to be robust and
accurate in one and two dimensions.
The mesh spacing in amorphized regions should not exceed the phase transition width of
3 nm to allow for accurate and stable simulation results. Figure 36 shows the mesh spacing
sensitivity.

Figure 36 The influence of the mesh spacing on the indium redistribution is shown for the
simulation of (left) incomplete and (right) complete recrystallization with indium
redistribution during SPER for PAI + In 90 keV 4e13 cm–2 implantation and anneal
at 600°C. For incomplete SPER after 60 s (left), the recrystallization speed is
approximately the same for spacing < 3 nm, while it slows down significantly for
coarser meshes. The total indium redistribution after complete SPER after 120 s
(right) is comparable to spacing <= 3 nm. Note that the SPER for 10 nm is not
completed after 120 s, while it is completed for spacing < 10 nm.

SIMS SIMS
10 nm 10 nm
5 nm 5 nm
1019 1019
3 nm 3 nm
2 nm 2 nm
1 nm 1 nm
Concentration [cm−3]
Concentration [cm−3]

1018 1018

1017 1017

1016 1016

0 50 100 0 50 100
Depth [nm] Depth [nm]

Depending on the activation energy of the diffusivity in a-Si, the doping redistribution during
SPER has a specific temperature dependency. If the activation energy of the diffusivity in
a-Si is similar to the SPER one (2.68 eV), the redistributed dopant shows little temperature
dependency. However, if the activation energy of the diffusivity in a-Si is different from the
SPER one, the dopant redistribution is temperature dependent (see Figure 37). Therefore,
you must take care about the correct anneal temperature profile during ramp-up, even at low
temperatures, to ensure accurate simulation.

Advanced Calibration for Process Simulation User Guide 170


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Accuracy and Limitations of Advanced Calibration of Sentaurus Process

Figure 37 The influence of the anneal temperature and a-Si diffusivity on the indium
redistribution is shown for the simulation of complete recrystallization with indium
redistribution during SPER for PAI + In 90 keV 4e13 cm–2 implantation and anneal.
If the activation energy of the diffusivity in a-Si is higher (for example, 3.3 eV) than
the SPER one (2.68 eV), more In is redistributed for higher temperatures (left). If the
activation energy of the diffusivity in a-Si is lower (for example, 2.2 eV) than the
SPER one (2.68 eV), more In is redistributed for lower temperatures (right).
SPER 700°C SPER 700°C
1020 1020
SPER 600°C SPER 600°C
SPER 500°C SPER 500°C
As-implanted As-implanted
1019 1019
Concentration [cm−3]

Concentration [cm−3]
1018 1018

1017 1017

1016 1016

0 50 100 0 50 100
Depth [nm] Depth [nm]

Antimony Diffusion and Activation


Antimony diffuses only with vacancies and, therefore, does not show transient enhanced
diffusion with excess interstitials created by ion implantation. In addition, the diffusivity of Sb
in silicon is low. The calibration of Sb diffusion and activation in silicon is based on the
literature [1] and Sb data for ion implantation and subsequent annealing, with implantation
energies of 50 keV and higher, and annealing temperatures ranging from 700°C to 1100°C.
For these conditions, the calibration is very reliable.
Antimony ultrashallow junction (USJ) formation in silicon has not been taken into account
yet in the Advanced Calibration.
If you are interested in Sb USJ formation, then an additional calibration of the Sb parameters
is required. In particular, it will probably be necessary to define more sophisticated initial
conditions for point defects and Sb activation after low-energy ion implantation, using the
procedure ifactor_Antimony, and the parameters AcInit and AmInit.

Advanced Calibration for Process Simulation User Guide 171


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Accuracy and Limitations of Advanced Calibration of Sentaurus Process

Carbon
This section discusses various aspects of carbon.

Carbon–Interstitial Clusters
The NeutralCluster model has been used for C–I clusters in silicon to reproduce
experimental data for USJ formation based on Ge+C+B or C+P cocktail implantations and
subsequent spike anneals [21]. The model has not been calibrated yet for other process
conditions where C implantation can be used, such as low-temperature annealing or As+C
coimplantations.
The main effect of the C coimplantation in silicon is a reduction of interstitial supersaturation
due to interstitial trapping by C–I clusters, leading to a reduction of dopant TED and
deactivation. Carbon is mainly effective in combination with (pre)amorphization and
recrystallization. In recrystallized regions, C is incorporated without an extra I and, therefore,
is very efficient in trapping I. The simulation results are relatively sensitive to the
amorphization layer thickness generated during implantation and, therefore, the dfactor of
preamorphizing implantations, or self-amorphizing C implantations, are recommended
fitting factors.
For additional calibration of the C–I cluster model, you can consider modifying the initial
conditions for C after implantation. By default, it is assumed that, in regions amorphized by
ion implantation and recrystallized by solid phase epitaxy, carbon is in the substitutional
state up to concentrations of 3.0×1020 cm–3 immediately after recrystallization. Higher
concentrations are clustered as C2. In nonamorphized regions, carbon is assumed to be
mostly in C3I2 clusters at the beginning of thermal annealing.
This assumption can be changed by the parameters AcInit, AmInit, FractionCryst, and
FractionAmor. For example:
pdbSetDouble Si Carbon AcInit 1e18
pdbSetDouble Si C2I1 FractionCryst 0.5
pdbSetDouble Si C3I2 FractionCryst 0.5
pdbSetDouble Si Carbon AmInit 1e20
pdbSetDouble Si C2 FractionAmor 1.0

With these parameter values, C would be initialized as follows: In crystalline regions, only a
maximum of 1×1018 cm–3 (AcInit) C atoms is substitutional initially. The additional C atoms
are distributed to C2I clusters (50%) and to C3I2 clusters (50%). In amorphized regions, the
first 1×1020 cm–3 C atoms are put into substitutional sites. If the total concentration of C is
higher, the rest is put into C2 clusters initially. It should be mentioned that the interstitials that
are contained in the C–I clusters represent an independent additional contribution to the
total number of interstitials present after implantation.

Advanced Calibration for Process Simulation User Guide 172


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Accuracy and Limitations of Advanced Calibration of Sentaurus Process

For low C concentrations, the C–I model can be simplified by deactivating the C2-cluster
type, since it is only formed at high concentrations. The following command deactivates the
C2 cluster:
pdbSetString Si C Int ClusterSizes { {1 0} {1 1} {2 1} {3 2} {3 3} }

In addition, the initial conditions should not include C2.

Carbon–Boron Clusters
According to [22], B and C can form relatively stable mixed clusters in silicon. The C–B
clusters might not have a significant influence on B at high concentrations such as for USJ
formation based on Ge+C+B coimplants, but B at low concentrations such as in B-doped
NMOS channels can be deactivated by the presence of high C concentrations [23].
The following statements activate mixed C–B clusters in the form of the cluster type CBI, in
addition to the C–I clusters and B4 clusters of Advanced Calibration:
pdbSet Si B [Link] ComplexCluster
pdbSet Si C [Link] ComplexCluster

Arbitrary calibrated reaction rates with diffusion-limited formation and a binding energy of
2.0 eV are defined by:
pdbSet Si BCI KF {[expr 1.0e8*[DiffLimit Si Int 0.0]]}
pdbSet Si BCI KR {[Arr 1.0e5 2.0]}

To increase the agreement with SIMS and spreading resistance profiles of [23], cluster types
with higher C content are beneficial.
To activate the cluster type C2BI with arbitrary calibrated reaction rates, use the following
statements:
pdbSet Si BCI [Link] { Boron 1 Carbon 2 Int 1 }
pdbSet Si BCI KF {[expr 2.0e8*[DiffLimit Si Int 0.0]]}
pdbSet Si BCI KR {[Arr 1.0e3 2.0]}

Impact of Carbon on Hole Mobility


Zschätzsch [24] reported that the presence of C in silicon reduces the mobility of holes in
boron USJ causing an increase of the sheet resistance. To include this effect in device
simulations, the following workaround can be used:
• At the end of the process simulation, 50% (or a lower percentage) of the C atoms is
added to the concentration of arsenic substitutional atoms, and the same percentage of
the C atoms is added to the B substitutional atoms, using the select command of
Sentaurus Process. This changes the sum of donors and acceptors, but does not
change the net active concentration of dopants.

Advanced Calibration for Process Simulation User Guide 173


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Accuracy and Limitations of Advanced Calibration of Sentaurus Process

If As and B are present in the device, and if the Transient model (default) is used for B
and As activation, this can be performed by the lines:
select Si name=BActive z="BActive+CTotal/2.0" store
select Si name=Boron z="Boron+CTotal/2.0" store
select Si name=AsActive z="AsActive+CTotal/2.0" store
select Si name=Arsenic z="Arsenic+CTotal/2.0" store
diffuse temp=460 time=1e-30

• In device simulations with Sentaurus Device, use the DopingDep mobility model for the
mobility in highly doped regions. In the DopingDep model, the total concentration of
donors and acceptors is used to calculate the carrier mobility.
An alternative method to take into account the impact of C on carrier mobility is to use a
physical model interface (PMI) for the calculation of mobility in high-concentration regions.
The PMI can be implemented in such a way that the presence of carbon reduces the mobility
of holes.
It is not known yet if carbon co-doping also impacts the mobility of electrons.

Molecular Implantation
Molecules such as borane (B10H14, B18H22) and carborane (C2B10H12) can be used to
implant C, B, and H at the same time [25][26]. In contrast to a subsequent implantation of C
and B atoms, large molecules are implanted. For TCAD modeling, the main difference is that
a molecule has a significantly higher capability to amorphize silicon. The many atoms of the
molecule, which all enter the wafer at essentially the same position, are more likely to
produce amorphous pockets in silicon than the implantation of individual atoms, which are
not spatially correlated. This difference is most significant for low-energy implantations
where the path length of the implanted atoms is relatively short. For high-energy
implantations, the atoms of the molecule eventually separate so far that the damage that
they produce no longer overlaps. Hydrogen is believed to out-diffuse rapidly without impact
on B diffusion.
Carborane implantation is performed by implanting the species C2B10H12, which is defined
by default in Sentaurus Process:
implant C2B10H12 dose=1.0e14 energy=6.7

While the models for B diffusion and activation, and C–I cluster formation have been found
to work well after carborane implantation, care needs to be taken for the implantation and for
setting the initial conditions after implantation. The file AdvCal_2024.[Link] includes a
calibration for implantation of BF2 and carborane, but not for other molecules. This section
summarizes the current recommendations of Synopsys for molecular implantation (other
than BF2).

Advanced Calibration for Process Simulation User Guide 174


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Accuracy and Limitations of Advanced Calibration of Sentaurus Process

Analytic Implantation
Sentaurus Process does not include implantation tables for molecules such as borane and
carborane. Therefore, if analytic implantation is used for borane or carborane, Sentaurus
Process converts the borane or carborane implantation into a B implantation with adjusted
energy and dose. This underestimates the amorphization by borane or carborane
implantation and ignores the implantation of C. Within analytic implantation, a better
alternative is to replace the borane or carborane implantation directly by a combination of B
and C implantation, with increased values of dfactor.

Sentaurus MC
You should use Sentaurus MC for molecular implantation. The file AdvCal_2024.[Link]
includes a calibration for carborane implantation, with parameters integrated in callback
procedures to obtain accurate results for the amorphization and good initial conditions (see
Implantation Preprocessing and Postprocessing on page 90). However, for all other
molecular implantations, the following settings must be defined by users in the case of a
calibration:
1. Switch off the hydrogen solution to save CPU time in subsequent anneals:
solution name=Hydrogen nosolve store

2. Adjust amorphization by molecular implantation. If $Energy is the energy of the


molecule, the following parameters can be set as a function of $Energy before the
molecular implantation:
pdbSet Si B [Link] <f($Energy)>
fproc mcdfactor_<molecule> { energy dose } { return f($energy) }

where [Link] (survival rate) scales the crystal damage, which is generated by nuclear
collisions during the implantation. In particular for small energies, it must be set to very
high values; otherwise, ion channeling during implantation is overestimated.
mcdfactor_<molecule> will be called after the implantation for a final scaling of the
crystal damage. With AdvCal_2024.[Link], the value is applied once for each atom
species of the molecule.
After the molecular implantation, you should reset [Link] for B (and other species in
the molecule) to the default values, as there might be other B ion implantations later in
the process simulation:
pdbSet Si B [Link] 0.225 ; # Sentaurus Process default

3. Set the number of interstitials to be generated by molecular implantation. When using


AdvCal_2024.[Link], Sentaurus Process adds automatically one interstitial for each
atom of the molecule. However, this is not reasonable for H, which probably comes to
rest in an interstitial position and is unlikely to kick out Si atoms from the lattice site.

Advanced Calibration for Process Simulation User Guide 175


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Accuracy and Limitations of Advanced Calibration of Sentaurus Process

A correction of the ‘automatic’ calculation of excess interstitials can be implemented with


the callback procedure UserMCPostProcess:
proc ifactor_<molecule> { e d } { return 1.0 }
proc UserMCPostProcess { Species Name Energy Dose Tilt Rotation
Slice Mode MCIFac MCVFac } {
if { $Species == "<molecule>" && $Name == "Hydrogen" } {
set ifactor [ifactor_<molecule> $Energy $Dose]
sel z = "Int_Implant - $ifactor * Hydrogen_LastImp"
Silicon name=Int_Implant store }
}

The amorphization of silicon by molecular implantation other than carborane and BF2
implantation has not been calibrated yet.

Fluorine Diffusion and Clustering


The procedure AdvancedFluorineModel defines a physics-based model for fluorine
diffusion and clustering in silicon (see Fluorine Diffusion and Clustering on page 101). The
model has been calibrated to reproduce experimental data for USJ formation based on F,
BF2, and cocktail implantations (Ge+F+B, Ge+C+BF2), and subsequent spike anneals [27].
The main effect of the F coimplantation is a reduction of interstitial supersaturation due to
interstitial trapping by F–I clusters, leading to a reduction of dopant TED and deactivation.
Fluorine is mainly effective in combination with (pre)amorphization and recrystallization. In
recrystallized regions, F is incorporated as Fi or F–I clusters. The dissolution of F–I clusters
leads to free F–I, by reactions that consume free I. The simulation results are relatively
sensitive to the thickness of the amorphization layer generated during implantation.
Therefore, the dfactor of preamorphizing implantations, or self-amorphizing F or BF2
implantations, are recommended fitting factors.
For additional calibration of the F–I cluster model, you can consider modifying the initial
conditions for F after implantation. By default, it is assumed that, in regions amorphized by
ion implantation and recrystallized by solid phase epitaxy, fluorine is in the interstitial state
up to concentrations of 1018 cm–3 immediately after recrystallization. Higher concentrations
are clustered as F2I and F3I2. In nonamorphized regions, fluorine is assumed to be mostly
in an interstitial position at the beginning of thermal annealing. This assumption can be
changed by the parameters AcInit, AmInit, FractionCryst, and FractionAmor. For
example:
pdbSetDouble Si F AmInit 1.0e20
pdbSetDouble Si F2I1 FractionAmor 0.0
pdbSetDouble Si F3I2 FractionAmor 1.0
pdbSetDouble Si F2I0 FractionAmor 0.0

With these parameter values, F would be initialized as follows: In amorphized regions, the
first F atoms are put into interstitial sites. If the total concentration of F is higher than 1020,
the rest is put into only F3I2 clusters initially. Compared to the default initial conditions, more

Advanced Calibration for Process Simulation User Guide 176


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Accuracy and Limitations of Advanced Calibration of Sentaurus Process

interstitials would be present after solid phase epitaxy and, therefore, also more dopant
TED.
The TED of dopants can be adjusted further by changing the stability of the F–I clusters, for
example, by lowering the cluster binding energies:
pdbSetDoubleArray Si F Int ClusterFormE {Fluorine 0 F2 -2.3 F2I -3.3 \
F3I2 -6.2}

This will lead to an earlier decay of F–I clusters and, therefore, a stronger reduction of TED.
Finally, the fluorine-dependent boron dose loss can be deactivated by:
solution name=BF nosolve store

Nitrogen Diffusion and Clustering


The procedure AdvancedNitrogenModel defines a physics-based model for nitrogen
diffusion and clustering in silicon (see Nitrogen Diffusion and Clustering on page 102). The
model has been calibrated to reproduce experimental data for USJ formation based on N
[28][29] and cocktail implantations (Ge+N+B, N+As), and subsequent RTA or spike anneals.
The main effect of the nitrogen coimplantation is a reduction of interstitial supersaturation
due to interstitial trapping by N–I clusters, leading to a reduction of dopant TED and
deactivation. Nitrogen is mainly effective in combination with (pre)amorphization and
recrystallization. In recrystallized regions, N is assumed to be incorporated as (Ni)2V
clusters that can capture free interstitials, thereby transforming into mobile (Ni)2 or immobile
(Ni)2I clusters.
The calibration included in AdvancedNitrogenModel provides a good starting point, but
often does not provide satisfactory immediate accuracy. Fine-tuning is often needed. In the
following, the most important parameters for the fine-tuning of AdvancedNitrogenModel are
explained.
To increase the capability of nitrogen coimplantation to decrease dopant TED, the most
important method is:
• Adjust the thickness of the amorphization layer generated during implantation. This can
be modified by tuning the parameter dfactor (for analytic implantation) or the return
value of mcdfactor_Nitrogen (for MC implantation). The thicker the amorphous layer,
the more N is initially incorporated in (Ni)2V clusters, which contribute most to interstitial
trapping.
To decrease the capability of nitrogen coimplantation to reduce TED, the most important
methods are:
• Define ifactor greater than 0 for nitrogen implantation. (Typical values for nitrogen are
in the range 0–1).

Advanced Calibration for Process Simulation User Guide 177


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Accuracy and Limitations of Advanced Calibration of Sentaurus Process

• Change the initialization of nitrogen in recrystallized regions. Instead of putting 100% of


the nitrogen into (Ni)2V clusters, a significant percentage of nitrogen can be initialized as
(Ni)2 or (Ni)2I by changing the corresponding values of FractionAmor. Apart from
increasing TED, this also will decrease the stability of nitrogen in recrystallized regions.
To fine-tune the nitrogen profile itself, the most important methods are:
• To achieve faster dissolution of nitrogen clusters, reduce the fraction of N that is initially
put into (Ni)2V or (Ni)2I clusters, using the parameters FractionCryst (for
nonamorphized regions) and FractionAmor (for amorphized regions).
• To change the stability of (Ni)2I clusters, reduce the binding energy for (Ni)2 + I <=> (Ni)2I.
The default value (parameter NDimer Int BindCluster) is 2.65 eV. Good values are
typically between 2.40 eV and 2.75 eV.

Diffusion in Strained Silicon and Silicon Germanium


Most of the calibration of the effects of Ge concentration and stress on dopant diffusivity
have been performed by using published data based on marker layer experiments [30], as
well as data from dedicated diffusion experiments executed by AMAT-VSE (Applied
Materials - Varian Semiconductor Equipment) and provided to Synopsys. In this type of
experiment, the diffusion of dopants is investigated by annealing experiments and
subsequent SIMS measurements in wafers, which contain (biaxially) strained or relaxed Si
and SiGe layers that are formed by molecular beam epitaxy (MBE). Often, the dopant atoms
are built into the wafers during MBE.
The calibration for SiGe is not expected to be as accurate as the one for silicon, since it has
not been tested to the same extent against data from device manufacturing processes,
which combine ion implantation and rapid thermal annealing, and in which the concentration
of point defects, defect clusters, and dopant–defect clusters are often far from thermal
equilibrium. In particular, for elements other than B, and for high Ge mole fractions in
general, little data has been published that allows for testing the accuracy of models for
dopant diffusion and activation in strained Si and SiGe.
Advanced Calibration does not include any SiGe or stress effects for the implantation
damage calibration. Since implantation into SiGe shows more amorphization than into pure
Si [31], it is recommended to increase slightly the dfactors in the case of SiGe
implantation.
In the case of Monte Carlo implantation into SiGe, more accurate results are often achieved
for as-implanted profiles if you assume implantation into pure Si instead of the compound
material.
To treat SiGe as pure Si, the minimal concentration threshold can be increased to an
arbitrary high value by the following command:
pdbSet Si [Link] 1e30

Advanced Calibration for Process Simulation User Guide 178


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Accuracy and Limitations of Advanced Calibration of Sentaurus Process

As-Implanted Dopant Profiles


In general, the dopant distribution after ion implantation is calculated with high accuracy. For
1D structures, similar accuracy is obtained for Monte Carlo ion implantation and for analytic
tables. This is because the tables have been generated by extraction of dual Pearson
parameters from Crystal-TRIM simulations [21].
Note:
Sentaurus Process checks the implantation tables and sets the implantation
mode to beam dose or wafer dose, both for analytic and MC implantation,
depending on the implantation tables format. As a consequence, beam dose is
selected for Ge, C, F, and N, while wafer dose is selected for the other species.
You can use a global switch for the same dose control for all implantations:
pdbSet ImplantData DoseControl <WaferDose | BeamDose>

The entries of the Default implantation tables, which are used by default, depend on the
species, energy, dose, tilt, and capping-layer thickness. For P, they also depend on the
rotation; whereas, for all other species, the Default tables have been generated with the
assumption rotation=0.
The amount of ion channeling must be fine-tuned frequently because it depends strongly on
the dose rate and the wafer temperature during the implantation, as shown by experiments
of [32]. Both parameters are usually not specified in the implant command. To fine-tune ion
channeling in MC implantation, use the parameter [Link] (Sentaurus MC) or dacc
(Crystal-TRIM). To fine-tune ion channeling in analytic implantation, use the parameter
ratio, which specifies the fraction of ions described by the first Pearson function.

For high-energy implantation into (100) wafers with zero tilt, the dopant profile is very
sensitive to a small deviation of the tilt angle from tilt=0. For such conditions, a mismatch
between SIMS and simulation might be due to insufficient experimental precision in the
tilt=0 ion implantation. In addition, for zero tilt, the dopant profile is also sensitive to the
beam divergence, which can be specified in MC implantations with the parameter
BeamDivergence (default is 0.5°).

If several implantations are performed subsequently without an intermediate diffusion step,


the ion channeling is reduced due to the increasing implantation damage. In Monte Carlo ion
implantation, this is taken into account automatically; in analytic ion implantation, the
CoImplant model is used for calculating the reduction of ion channeling. This model is
described in the Sentaurus™ Process User Guide.
In 2D or 3D applications, the simulation results for Monte Carlo implantation and analytic
implantation are often different, due to differences in the lateral distribution of dopants in
structured geometries. Typically, the Monte Carlo simulation gives a more accurate lateral
distribution of dopants. For CMOS technology, the difference in as-implanted profiles
between Monte Carlo and analytic implantations is most important for the tilted halo
implantations. The advantage of an analytic implantation is that it is much faster than a

Advanced Calibration for Process Simulation User Guide 179


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Accuracy and Limitations of Advanced Calibration of Sentaurus Process

Monte Carlo implantation and that the result does not show any statistical noise. The latter
is very important if you want to investigate the effect of small variations of implantation
conditions on the device performance.
You can decide to use Monte Carlo implantation or analytic implantation outside of the
calibration file by using one of the keywords [Link] and crystaltrim, or not.

Coimplantation Model
The coimplantation model is switched on by default. This model will automatically reduce the
ion channeling in successive ion implantations.
However, the location of the crystal damage is not taken into account by the coimplantation
model. Occasionally, in 2D and 3D process simulations, successive implantations are
performed into different regions of the wafer, because implantation masks are removed and
other masks are deposited between the implantation steps. In this case, the earlier
implantation does not reduce the ion channeling of the latter implantation, and it is
recommended to switch off the coimplantation model for these ion implantations.

Preamorphization Implantation Model


For analytic simulation of low-energy implantations that follow a preamorphization
implantation (PAI), the PAI model is a superior alternative to the coimplantation model. For
example, this might be the case for Ge+B source–drain implantations. The PAI model is also
described in the Sentaurus™ Process User Guide.
To switch it on for a single boron implantation step, insert the keyword pai in the implant
command.

Cold and Hot Implantation


Cold or cryogenic implantations are implantations with substrates kept below room
temperature. Under these conditions, there is less dynamic annealing and the implantation
amorphizes more rapidly, leading to deeper amorphous layers and less implantation
channeling [33][34][35]. Hot or heated implantations are implantations with substrates kept
above room temperature. Under these conditions, there is more dynamic annealing and the
implantation amorphizes less rapidly, leading to shallower amorphous layers and more
implantation channeling [32][36].
You can simulate all these effects by calling the procedure AdvancedThermalImplantModel
(see Thermal Implantations on page 87) or by using Sentaurus Process Kinetic Monte Carlo
[37] (see Amorphization on page 283).
When using AdvancedThermalImplantModel in combination with Sentaurus MC
implantation, as recommended, both simulated damage and doping profile are dependent

Advanced Calibration for Process Simulation User Guide 180


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Accuracy and Limitations of Advanced Calibration of Sentaurus Process

on the implantation temperature. However for analytic implantation, only the damage is
dependent on the implantation temperature, since analytic implantation profiles are only for
room temperature implantations. For adjustments to the implantation tables for cold and hot
implantations, you can change the ‘ratio’ of the two Pearsons to fit the channeling:
implant species=Phosphorus Silicon ratio=0.5
implant Phosphorus dose=1e+14 energy=30.0 tilt=0.0 rot=0.0
ResetImplantParams Silicon Phosphorus

Dose Loss at Silicon–Oxide Interfaces


For As, B, and P, the ThreePhaseSegregation model is used to describe dose loss at Si–
SiO2 interfaces. It takes into account that dopants can be trapped at the interface layer. In
thermal equilibrium, the concentration of boron at both sides of the interface, which can be
named as the segregation coefficient, can be expressed as:
BOx / BSi = p/ni × TrappingRateSi / TrappingRateOx
× EmissionRateOx / EmissionRateSi
× 5e22 / SolubilitySi

Here, p/ni is the normalized hole concentration at the silicon side of the interface. SolubilitySi
is the solid solubility of B in silicon, and the other parameters are specified in the sections on
dose loss in AdvCal_2024.[Link]. In comparison, if the simple Segregation model is
used, the same relation can be expressed as:
BOx / BSi = p/ni / Segregation

Here, Segregation is the parameter of the Segregation model. In some limiting cases in
which the amount of dopants trapped at the interface can be neglected, you can achieve
similar results with the Segregation model and the ThreePhaseSegregation model. For
this, the parameter Segregation must be defined as:
Segregation = TrappingRateOx / TrappingRateSi
× EmissionRateSi / EmissionRateOx
× SolubilitySi / 5e22

For As, B, and P, the Advanced Calibration parameters used in the


ThreePhaseSegregation model correspond to the following values of Segregation in the
Segregation model:
pdbSet Ox_Si As Segregation {[Arr 97777 0.6]}
pdbSet Ox_Si B Segregation {[ArrBreak 1460.16 0.9502 144.721 \
0.6966 1000]}
pdbSet Ox_Si P Segregation {[Arr 106666.7 0.5]}

When switching from the ThreePhaseSegregation model to the simpler Segregation


model, consider defining the above values for Segregation, instead of the default values.

Advanced Calibration for Process Simulation User Guide 181


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Accuracy and Limitations of Advanced Calibration of Sentaurus Process

Note that, in both the ThreePhaseSegregation model and the Segregation model,
segregation into oxide is enhanced for high dopant concentrations by the factor p/ni (Poni)
for acceptors and n/ni (Noni) for donors, in agreement with experimental evidence.

Calibration of ThreePhaseSegregation Model


To increase dose loss, the easiest way is to increase the value of the parameter CMax or
TrappingRate_Silicon.

During calibration, it might be necessary to change dose loss in a different way for high and
low surface concentrations of a dopant. This can be achieved in two ways:
• Decreasing CMax and at the same time increasing TrappingRate_Silicon can have an
opposite effect for high and low surface concentration. For high surface concentration,
most interface traps can be filled. Reducing CMax will, therefore, reduce dose loss even
for very high values of TrappingRate_Silicon. For low surface concentration, reducing
CMax might be overcompensated by an increase of TrappingRate_Silicon.

• To increase dose loss especially for high concentrations of a dopant, you can consider to
implement a pairing reaction for dopants in the interface layer, as it is done for P in
AdvCal_2024.[Link].

Sometimes, it might be necessary to adjust dose loss in a different way for inert and
oxidizing atmospheres. During oxidation, the interface moves. The dopants do not have
much time to cross the interface to establish segregation equilibrium between dopants in
oxide and dopants in silicon. For this reason, for oxidation, the dose loss is more sensitive
to the rate at which atoms change places. Changing all trapping rates and emission rates for
a dopant by the same factor will have a much greater effect on dose loss for oxidizing
atmospheres than for inert atmospheres.
A flexible instrument to modify dose loss is defining the terms CMaxFactor and
[Link]. CMax is multiplied by the first term, if defined, and the emission rate from
the interface layer into silicon is multiplied by the second term, if defined.
In Advanced Calibration, PhosphorusCMAxFactor is used to reduce P dose loss in the
presence of As at the oxide–silicon interface:
term name=PhosphorusCMaxFactor add Oxide /Silicon \
eqn = "1.8e13/(Arsenic+1.8e13)" store

You can adjust the value 1.8e13 during a technology-specific calibration. [Link]
is used in SiGe_and_Stress_Effect to take into account the modification of emission rates
into strained silicon due to the impact of pressure on solid solubility. In CMOS process
simulations, an important use case of CMaxFactor is to define a different dose loss into the
gate oxide (which is typically oxynitride) and into the oxide spacer. For example:
term name=BoronCMaxFactor add Oxide /Silicon \
eqn = "(y<@<lgate/2.0>@) ? 0.2 : 1"

Advanced Calibration for Process Simulation User Guide 182


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Accuracy and Limitations of Advanced Calibration of Sentaurus Process

For phosphorus, it has been found that dose loss is smaller in processes without
implantation, such as thermal annealing after epitaxial growth of P-doped layers covered by
a thin native oxide. The Advanced Calibration default value of CMax has been calibrated for
processes with P implantation. In processes without implantation, it is recommended to
reduce the value of CMax for P at the Si–SiO2 interface, for example, by a factor of 10. A
similar reduction can be achieved by a corresponding definition of PhosphorusCMaxFactor
in regions not affected by implantations.
The sensitivity of P dose loss to implantation damage mainly affects the dose loss described
by the capture of single P atoms at the interface (governed by CMax). The concentration of
P that can be trapped in P2 pairs formed at the interface does not show such strong
sensitivity. Therefore, the corresponding parameter P2trapMax is usually not adjusted for
processes without implantation.

Oxidation
The file AdvCal_2024.[Link] includes parameters for the Massoud model for wet oxidation
of silicon and oxidation parameters for germanium and SiGe. Some comments might be
useful for additional fine-tuning of oxidation rates.
The growth of thick silicon oxides (thickness > 30 nm) is governed by the linear rate constant
(B/A) in the Deal–Grove model. For very thick oxides (thickness > 100 nm), the parabolic
rate constant (A) of the Deal–Grove model also becomes important. The default values of
these parameters can be considered to be well calibrated in Sentaurus Process for dry, wet,
and mixed atmospheres.
For thin oxides (thickness < 5 nm), the growth rate is dominated by the Massoud correction
term of the Deal–Grove model. This correction term is taken from Sentaurus Process
defaults for dry oxidation and has been calibrated for wet oxidation. Recommendations for
the fine-tuning of the Massoud correction term for wet oxidation are presented in Massoud
Model Parameters for Wet Oxidation of Silicon on page 58.
For very thin oxides and oxynitrides (thickness < 2 nm), which are often grown at low
temperatures, the models of Sentaurus Process are not sufficiently well calibrated to expect
precise results. For CMOS gate oxides (oxynitrides), it is recommended to extract the oxide
thickness from a comparison of simulated and measured C–V characteristics in
accumulation, and to adjust the oxide thickness in process simulations by defining the
thickness of the initial oxide layer.

Bird’s Beak in CMOS Devices


CMOS devices sometimes exhibit a so-called bird’s beak. During poly reoxidation, the gate
oxide grows slightly thicker at the gate edge than in the middle of the transistor. For the
calibration of the bird’s beak, in principle, you can use high-resolution TEM pictures of the
gate oxide, but often the bird’s beak is too small to be visible in TEM. For thin gate oxides,

Advanced Calibration for Process Simulation User Guide 183


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Accuracy and Limitations of Advanced Calibration of Sentaurus Process

the growth of the bird’s beak is dominated by the Massoud correction term of the Deal–
Grove model. This correction term has been calibrated for the growth of planar oxides, and
it possibly needs to be modified for the oxide geometry at the gate edge.
The most radical modification is to completely suppress oxidant diffusion in the gate oxide
during poly reoxidation. This will completely eliminate the formation of a bird’s beak shape.
An advantage of this approach is that the Si–SiO2 interface remains perfectly parallel to the
horizontal mesh lines, which is beneficial for the convergence of device simulation.
To completely suppress oxidant diffusion in the gate oxide, define the term O2DiffFactor
(H2ODiffFactor):
term name=O2DiffFactor add Oxide store \
eqn= "((y<($lgate/2.0)) * (x>-0.01)) ? 0 : 1"

In this definition, it is assumed that the gate oxide is located in the region y<($lgate/2.0)
and x>-0.01; whereas, other oxide is located outside this region.
Besides its impact on the final shape of the gate oxide, altering the bird’s beak has an impact
on the OED during poly reoxidation and, thereby, on the NMOS reverse short-channel
effect.

Diffusion in Polysilicon and Out-Diffusion From Polysilicon


A calibration of dopant diffusion in polysilicon and the out-diffusion of dopants from
polysilicon is not included in Advanced Calibration. In CMOS simulations, it is often sufficient
to assume a constant doping profile in polysilicon, which can be adjusted manually with the
help of C–V measurements.
For devices where diffusion and activation of dopants in polysilicon or the out-diffusion from
polysilicon into silicon is important (such as in processes for the fabrication of bipolar
devices or DRAM), you need to select the model and parameters for the dopants in
polysilicon and for the dopant flux at the interface.

Dopant Penetration Through Gate Oxide


The dopant penetration through gate oxide has not been calibrated. This is a difficult task
because, instead of pure oxide, oxynitride is commonly used as the gate dielectric material.
You can completely suppress dopant diffusion from the polysilicon through the gate oxide
into the channel region by setting the transfer coefficient at the polysilicon–oxide interface to
0.

Advanced Calibration for Process Simulation User Guide 184


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Performing Additional Calibration

Diffusion and Activation in Germanium


Sentaurus Process supports diffusion and activation in crystalline germanium with a Ge–
GeO2 interface. A basic calibration has been performed, and parameters for material
properties, defect evolution, and dopant diffusion and activation have been introduced to the
Sentaurus Process parameter database and Advanced Calibration.
In general, the calibration for germanium is less accurate than the one for silicon, since it is
less mature and based on a smaller experimental dataset. Therefore, additional calibration
might be required.
Defect recombination and dopant segregation are calibrated only for the GeO2–Ge
(GeOxide_Germanium) interface. GeO2 is thermally unstable and water soluble, and
uncapped GeO2 surfaces lead to germanium substrate loss even in low-temperature
processes [40]. Different capping layers (SiO2, Si3N4) on the germanium surface can
prevent substrate loss and lead to a different phosphorus dose loss [40]. However, the effect
of different capping layers has not been calibrated, since surface conditions are not always
specified in detail in the literature. Therefore, the dose loss might need additional calibration
for specific cases. Since it is assumed that, even in the case of a SiO2 capping on Ge, a
small layer of GeO2 is formed in between, specifying a Ge–GeO2 boundary for Ge substrate
simulations is reasonable. However, for usability and SiGe parameter interpolation, the
parameters for the Ge–SiO2 interface are defined in Advanced Calibration by inheriting the
parameter values from Ge–GeO2.
Note:
You should use Sentaurus MC for implantation into germanium, since no
implantation tables for germanium are available.

Performing Additional Calibration


For the process simulation of any new technology or technology node, it is recommended to
use the Advanced Calibration as a starting point.

Calibration Procedure
In many cases, Advanced Calibration gives accurate results without requiring additional
parameter fine-tuning. However, in most cases, especially for the development of innovative
technology, additional fine-tuning is needed for a customized TCAD calibration.

Advanced Calibration for Process Simulation User Guide 185


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Performing Additional Calibration

The recommended way to perform the calibration of parameters for 2D (or 3D) process
simulation is:
1. Compare SIMS data from your process flow with straightforward results obtained with
Advanced Calibration. Check whether the agreement is good and whether additional
parameter fine-tuning is needed to improve the agreement.
2. If additional models, or parameter fine-tuning, are needed, put the required additional
calibration together in a single user calibration file, which will be sourced immediately
after loading the default Advanced Calibration. For the remainder of this section, this
user calibration file will be called user_calib.fps.
3. After a good agreement with SIMS data is obtained, make a setup for 2D process and
device simulation. Before continuing with the calibration, ensure that the setup is good in
terms of numerics (small CPU time, small numeric noise, and robustness).
4. Perform 2D (or 3D) process and device simulations, and compare the simulated and
measured electrical device characteristics. Analyze whether there is insufficient
accuracy, which is due to process simulation calibration.
5. Perform additional parameter fine-tuning if required. As in the case of 1D simulations, all
fine-tuning in addition to the default Advanced Calibration should be put together in the
user_calib.fps file, which is sourced immediately after the following command:
AdvancedCalibration 2024.03

6. As a result of the fine-tuning (calibration), the same file user_calib.fps should give
accurate results in all 1D and 2D (or 3D) simulations.
It is reasonable to start with 1D simulations and SIMS data, because 1D simulations are
much faster and the SIMS data provides direct information on the dopant distribution,
whereas electrical device data does not always allow you to separate clearly the many
effects that accumulate in a full 2D or 3D process flow.
Synopsys offers calibration service projects. In such projects, the optimized and calibrated
input files for process and device simulation are created by expert application engineers at
Synopsys, and the calibration steps are explained in detail to customers.

Fine-Tuning Parameters Defined in Callback Procedures


Several parameters specified in Advanced Calibration are defined in callback procedures. In
particular, this applies to many parameters for ion implantation, which can depend on the
implantation conditions, such as implantation species, energy, dose, and wafer temperature.
These implantation conditions are handed over to the callback procedures as arguments.

Advanced Calibration for Process Simulation User Guide 186


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Performing Additional Calibration

An example is the procedure ifactor_Carbon, which defines the “interstitial +x factor” for a
C implantation into Si, depending on the implantation energy. In AdvCal_2024.[Link],
there is:
fproc ifactor_Carbon { Energy Dose } {
return [expr $Energy/($Energy+2.0)/3.0]
}

Here, only one parameter is defined as a return value. To fine-tune the formula defined in
Advanced Calibration, you need to redefine the callback procedure.
Some callback procedures defined in Advanced Calibration are very long and define many
parameters as a function of implantation conditions. In particular, this applies to the
procedures _AdvCal::ImpPreProcess and AdvancedThermalImpPreProcess.
Sometimes, you might need to fine-tune some of the parameters defined in these
procedures. For this purpose, specifying a new parameter value with an isolated pdbSet
statement does not work, because that value is overwritten when Sentaurus Process calls
the callback procedures when it executes an implantation statement.
Instead, to fine-tune a process model parameter that is defined in one of these two
procedures, you have the following options, of which #2 is better because it keeps the user
calibration file short:
1. Copy the complete definition of a callback procedure from the Advanced Calibration file
into the user calibration file and make changes therein.
2. Define a procedure named UserImpPreProcess. If this procedure is defined, then
Sentaurus Process calls it for every implantation statement, after calling
_AdvCal::ImpPreProcess or AdvancedThermalImpPreProcess. Therefore, you can
use commands inside UserImpPreProcess to overwrite some of the parameters defined
in _AdvCal::ImpPreProcess or AdvancedThermalImpPreProcess. The syntax is:
fproc UserImpPreProcess {Species Energy Dose Tilt Rotation Slice Mode}
{
...
}

Loading a User Calibration File


An input file of a Sentaurus Process simulation with additional user calibration should have
the following structure:
# Title
AdvancedCalibration 2024.03 ; # loads the Advanced Calibration
source ./user_calib.fps ; # loads the additional calibration
line ... ; # rest of process simulation file
init ... ; # without any model parameters
implant ...
diffuse ...

Advanced Calibration for Process Simulation User Guide 187


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Performing Additional Calibration

deposit ...
save ...
exit

All project-specific calibration is contained in a separate file user_calib.fps, which is


sourced after loading the Advanced Calibration defaults. This setup has several
advantages:
• The simulation input file is easier to read if it is free of physical models and parameters.
Changing the process conditions does not require a high level of TCAD expertise.
• All project-specific calibration is contained in a single file. Often, this file is short and
contains only approximately ten changes with respect to the default Advanced
Calibration. Ideally, it can be printed on a single page. Therefore, it is very easy to see
what has been performed in the user calibration. The calibration work becomes clearer
and easier. Furthermore, the user calibration from different technologies or technology
nodes can be compared and exchanged conveniently.
See Example of a User Calibration File on page 189.

Recommendations
This section provides information for additional calibration.

Miscellaneous
This section discusses how to avoid common errors in 2D simulations:
• In process recipes that contain tilted implantations, the implantation dose is defined
either per wafer area or per beam area. To ensure that Sentaurus Process uses the
required definition for all species, you should to set the DoseControl parameter at the
start of the command file:
pdbSet ImplantData DoseControl <WaferDose | BeamDose>

• A few nanometers of silicon are sometimes removed in cleaning steps. This might be
important to take into account after low-energy ion implantation.
• Always assume that bare Si surfaces are covered by a natural oxide of 1–2 nm
thickness. In practice, this can mean that it is necessary to add deposition steps of thin
oxide layers in the process simulation. The dose loss model used in Advanced
Calibration works only for Si–SiO2 interfaces not Si–gas interfaces.
• In CMOS technology, the gate is not always rectangular. If possible, adjust the gate
shape to a TEM picture, to obtain the correct as-implanted extension and halo dopant
profiles.

Advanced Calibration for Process Simulation User Guide 188


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Performing Additional Calibration

• For spike annealing and, more importantly, for laser and flash lamp annealing, the heat
cycle to be used in the diffuse statement ideally must be taken from a corresponding
temperature profile measurement.

Lateral Diffusion Along Interface


The three-phase segregation model allows you to specify a dopant diffusivity in the interface
layer. This model is used for B, As, and P in the Advanced Calibration. The interface
diffusivities are set to 0 by using:
pdbSetDouble Ox_Si B D { 0 0 }

By setting positive values of the interface diffusivity, the lateral diffusion of dopants near the
Si–SiO2 interface can be increased without affecting the vertical diffusion far from the
interface. This can be used, for example, to adjust the short-channel effect in
deep-submicron MOSFETs. More importantly, setting a positive value for the lateral
diffusivity will also change the shape of the p-n junctions close to the interface [41].

Example of a User Calibration File


The following example is a typical user calibration file, which can be the result of a CMOS
calibration project, for example. The file user_calib.fps is sourced after loading the
default Advanced Calibration file:
# Calibration file for 90nm CMOS technology.
# Five changes after loading AdvCal_2024.[Link].

# 1) Adjust ion channeling and rp for high energy P implant


proc UserImpPreProcess { Species Energy Dose Tilt Rotation \
Slice Mode } {
ResetImplantParams Silicon Phosphorus ; # reset to default
if { $Species == "Phosphorus" && $Energy > 300 } {
implant species=P Si ratio=0.9
implant species=P Si rp=[expr 1.15e-3*exp(log($Energy)*0.99)]
}
}

# 2) For nMOS extension (energy and dose dependence of TED)


proc ifactor_Arsenic { Energy Dose } {
if { $Energy < 5.0 } {
return [expr 2.0e14/($Dose+5e13) + (5-$Energy)/4.0]
}
return [expr 2.0e14/($Dose+5e13)]
}

# 3) For nMOS extension (dose loss)


pdbSetDouble Ox_Si Arsenic CMax {[Arr 5.0e17 0.8]}

Advanced Calibration for Process Simulation User Guide 189


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Performing Additional Calibration

# 4) For pMOS extension (energy and dose dependence of TED)


proc ifactor_Boron { Energy Dose } {
if { $Energy < 2.5 } { return 0.5 } ; # pMOS extension implant
return 1.0 ; # nMOS well implant
}

# 5) For pMOS extension (reduced diffusion after spacer deposition)


pdbSetDouble Si B CluRate {[Arr 5e11 5.42]}

This example calibration file, which is a hypothetical result of a CMOS calibration, can be
embedded in a CMOS process simulation input file, as described in Loading a User
Calibration File on page 187. Its contents are discussed briefly.
This file changes five settings of the default calibration file. The first change improves the
accuracy of as-implanted profiles. The next two changes target As extension profiles after
annealing, and the last two changes relate to the B extension diffusion in the PMOS.
The implementation of UserImpPreProcess (first change) illustrates how to adjust the
Pearson parameters. You must pay attention to the following aspects:
• If the modified values of the Pearson parameters should be applied only for some
process conditions (for example, only for high-energy P implantation, but not for
low-energy P implantation), you must ensure that the parameter changes are not
permanent for all subsequent implantations. In this example, this is performed by
including the command ResetImplantParams.
• When adjusting one of the Pearson parameters (rp, stdev, rp2, stdev2), you should
avoid defining a fixed value. Instead, you can define them as functions of implantation
parameters. In this example, rp is defined as a function of implantation energy in order
not to destroy the energy dependency of rp by the calibration.
With a fixed value, the calibration is valid only for a single condition, but it is not predictive
for a larger process window of interest. Only for the parameters ratio, gamma, beta,
gamma2, and beta2 can you use a fixed value in a larger window of interest.

A permanent change of diffusion parameters is performed with the pdbSet, pdbSetDouble,


or pbdSetDoubleArray command. The initial conditions after ion implantation can also be
calibrated in the user calibration file. For example, as demonstrated in the file above, the
interstitial plus-factor (ifactor), which scales the amount of interstitials generated per
implanted ion, can be adjusted as a function of implantation energy and dose. The default
procedures of the Advanced Calibration for setting ifactor are implemented in section 3.2
of AdvCal_2024.[Link].
By redefining the procedures ifactor_Arsenic and ifactor_Boron, you can overwrite the
original dependency on implantation energy and dose. Using initial conditions that depend
on implantation energy and dose is a very powerful method to calibrate dopant diffusion in
the energy and dose window of interest for a given technology.

Advanced Calibration for Process Simulation User Guide 190


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Recommended Numeric Settings for Monte Carlo Implantation

Recommended Numeric Settings for Monte Carlo Implantation


This section presents recommendations that allow for the reduction of numeric noise and
CPU time for Monte Carlo implantations.

Monte Carlo Pocket Implantation


In process simulation of CMOS devices for 65 nm or smaller gate lengths, MC simulation is
often preferred to analytic implantation models for the pocket implantation, because it allows
for the calculation of the 2D (or 3D) dopant distribution under the gate corner with higher
accuracy.
Recommendations are given to minimize the numeric noise and the CPU time needed for
MC pocket implantations. These recommendations are given for 2D process simulation, but
can be generalized for the 3D case:
• In 2D CMOS process simulation with a single symmetric gate, MC implantations can be
performed on a half-structure, in which the half-gate is typically at the left side of the
simulation domain. The following choices can be selected for the boundary conditions at
the left-side and right-side simulation domain boundaries:
◦ pdbSet MCImplant LeftBoundary Reflect

This leads to the fastest simulations. Atoms that reach the left-side boundary are
reflected. A small disadvantage is that ions, which travel in crystal channels before
reflection, are scattered out of their crystal channeling, which might lead to a small
maximum of concentration near the symmetry plane. This disadvantage can be
avoided by using TrueReflect instead of Reflect. For details, see the Sentaurus™
Process User Guide.
◦ pdbSet MCImplant RightBoundary Reflect

This leads to the fastest simulations. It is not perfectly precise. In particular, at the
right side of the device, for implantations with rotation=90, it will result in a decay of
the profile.
The alternative is:
pdbSet MCImplant RightBoundary Extend
pdbSet MCImplant MinExtend 0.03 ;# example
pdbSet MCImplant ExtensionLength 0.00

With this choice, the simulation domain is extended artificially by 30 nm (the best
value depends on the implantation condition) at the right side to avoid the decay of
the profile.

Advanced Calibration for Process Simulation User Guide 191


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Recommended Numeric Settings for Monte Carlo Implantation

• In 2D CMOS process simulation with multiple symmetric gates in periodic arrangement,


the best choice is to use TrueReflect boundary conditions on both sides of the
half-structure:
pdbSet MCImplant LeftBoundary TrueReflect
pdbSet MCImplant RightBoundary TrueReflect

With these settings, the simulation is fastest, and the shadowing of tilted implantations
by the neighboring gate is taken into account correctly.
In comparison, using Reflect boundaries at both sides does not take into account the
shadowing effect of the neighboring gate. (This is because, with Reflect boundaries,
ions are not reflected as long as they travel in the gas phase.)
• The following switches should be used:
pdbSet MCImplant TrajectoryReplication 0
pdbSet MCImplant TrajectorySplitting 0

They switch off trajectory replication and the trajectory-splitting algorithm. Trajectory
replication reduces CPU time, but it carries the risk that a family of trajectories is not
found in the initial search of possible particle pathways. For CMOS pocket implantations,
it is better to switch it off, as the benefit in CPU time does not compensate for the numeric
noise corresponding to that risk.
Trajectory splitting is an algorithm developed to improve the statistics of as-implanted
profiles in regions that are reached only by a few pseudoparticles. However, in CMOS
pocket implantation, the focus of interest is the region of maximum as-implanted dopant
concentration, and not so much the profile tails. So improving the statistics in the profile
tail (at the cost of using less CPU time for the profile maxima) is not beneficial for CMOS
pocket implantations.
• Reduce the interval width from 50 nm to a smaller value, for example, 2 nm:
pdbSet MCImplant Intervals dy 0.002

This increases the CPU time because for each interval a number of pseudoparticles
(particles) will be followed. However, it is better to use a larger number of small
intervals with a small particle number each, than to use a small number of very large
intervals with a large particle number, because as a matter of statistics, in the first case,
the incident pseudoparticles are more evenly distributed over the whole simulation
domain.
• Instead of using the same particle number for all rotation angles, use a higher particle
number for implantations towards the gate corner (usually, rotation=90) and a reduced
particle number for implantations away from the gate corner (usually, rotation=270).

Advanced Calibration for Process Simulation User Guide 192


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Additional Calibration for Power Technologies

For example, a good choice for a four-rotation pocket implantation, when simulating the
right half-structure of a MOSFET, is:
◦ rotation=0: particles=1000

◦ rotation=90: particles=4000

◦ rotation=180: particles=1000

◦ rotation=270: particles=300
Note:
If the TrueReflect boundary condition is used at the left-side boundary, then
use the same particle number for rotation=90 and rotation=270 to ensure
good statistics in the middle of the device.

Monte Carlo Source/Drain Implantation


In CMOS technology, source/drain implantations are typically performed at tilt angles close
to zero. By colliding with Si lattice atoms, a small percentage of implanted ions is deflected
towards the middle of the channel, which can lead to a significant reduction of the threshold
voltage. The accurate modeling of this requires good statistics of the ions deflected towards
the middle of the channel. This can be achieved by the following recommended settings for
MC source/drain implantation:
pdbSet MCImplant TrajectorySplitting 1
pdbSetSwitch MCImplant SplitModel [Link]

With SplitModel [Link], a particle is replaced by two child particles, with half the
statistical weight each, when it reaches an element with a small trajectory density. This is
much more suitable for obtaining good lateral statistics in the channel region under the gate
than the default SplitModel [Link], where particle splitting depends on the depth
(distance from the impact point) only.
Using SplitModel [Link] increases the CPU time, but this is more than
compensated by the improved statistics of the ions implanted in the channel region.
Compared to SplitModel [Link], often a much smaller number of implanted particles
suffices to achieve an equally small statistical noise in device characteristics due to source/
drain MC implantation.

Additional Calibration for Power Technologies


The process simulation of power technologies and smart-power technologies can require
too much CPU time because of the large number of thermal anneals. Part 5: Accelerating
Simulations for Power Technologies on page 119 presents a recommended choice of model
switches and numeric parameters to accelerate process simulations.

Advanced Calibration for Process Simulation User Guide 193


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Additional Calibration for Power Technologies

Calibration
Starting from the Advanced Calibration parameter set, a typical comprehensive calibration
of process simulation for power and bipolar–CMOS–DMOS (BCD) technologies consists of
several steps. This section describes frequently used parameters for technology-specific
calibration.

Oxide Thickness and Oxide Shape


With Advanced Calibration, stress-dependent oxidation is not turned on by default, except
in simulations with the SiGe_and_Stress_Effect procedure. For LOCOS simulations, it is
recommended to switch on the model for stress-dependent oxidation:
pdbSet Oxide O2 SDO 1
pdbSet Oxide H2O SDO 1
pdbSet Oxide Oxidant SDO 1

The shape of the LOCOS is usually fine-tuned against TEM reference data by calibrating the
viscosity and stiffness (for example, BulkModulus) parameters for oxide and nitride, and
calibrating the activation volume (parameters Vd and Vk) for stress-dependent oxidation
rates.

Ion Implantation
You can use either MC or analytic implantation for ion implantation. MC implantation is more
frequently used, because it is more physical and better describes lateral scattering in
nonplanar structures. Often, MC implantation is accurate out of the box. If needed, the
following parameters are sometimes considered for fine-tuning:
• [Link] adjusts the position of the profile peak.

• [Link] increases or reduces the channeling tail, and scales the damage created
during implantation. The more damage is created, the faster the crystal channels are
blocked, and the fewer ions end up in the channeling tail for medium-dose or high-dose
implantations.
• DebyeTemperature adjusts ion channeling for very high energies (MeV) and small
doses. Often, for very high implantation energies, you need to decrease this parameter
with respect to the default value (519 K in Si).
In analytic implantation, the parameter ratio, one of the double-Pearson parameters,
scales the fraction of dopants in the first Pearson distribution.

Initial Conditions After Implantation


The excess interstitials created by implantation play an important role in TED. The number
of interstitials is frequently fine-tuned with the scaling factor ifactor. You can either specify

Advanced Calibration for Process Simulation User Guide 194


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Additional Calibration for Power Technologies

ifactor directly in an implant command or, better yet, overwrite the callback procedures
ifactor_Boron, ifactor_Arsenic, or similar, with modified values.

After amorphizing implantations, only the nonamorphized regions contribute to excess


interstitials. Therefore, the amorphization depth also impacts the number of excess
interstitials created. For amorphizing implantations, the implantation damage, which
determines the amorphization depth, can be scaled after implantation by adjusting the
parameter mcdfactor. Again, the recommended way to do this is to overwrite the
corresponding callback procedure, such as mcdfactor_BF2. In MC implantation, the
implantation damage is also affected by the value of [Link].
Sometimes, you need to adjust the maximum concentration of dopants, which is initially
active after implantation. This is best achieved by redefining the callback procedures
acinit_<species> and aminit_<species> for nonamorphized and amorphized regions,
respectively.

Thermal Annealing
Power-device fabrication processes frequently involve thermal oxidation. During oxidation,
interstitials are injected at oxidizing surfaces, thereby enhancing the diffusion of B and P,
which predominantly diffuse by way of interstitials. The diffusion of As, which partially
diffuses by using a vacancy mechanism and partially through interstitials, is also enhanced,
but to a lesser extent. The amount of interstitial injection that causes OED is scaled by the
parameter theta. The value of theta for dry and wet oxidation is frequently adjusted to
match dopant diffusion visible in SIMS data.
Dopant segregation at silicon–oxide interfaces is also frequently tuned. For B, P, and As, the
ThreePhaseSegregation model is used to describe segregation from Si to Oxide, and
segregation into the oxide–silicon interface layer. For information about adjusting the dose
loss, see Calibration of ThreePhaseSegregation Model on page 182.

Meshing
A well-designed mesh is important for 2D or 3D process simulations. The mesh should be
fine enough in the critical regions to keep discretization errors small, but it should have a
small total number of mesh points. Mesh refinement criteria are described in the
Sentaurus™ Process User Guide.
For many applications, a good mesh can be obtained using adaptive meshing. Many
adaptive refinement criteria are available to deal with different fields and situations. All
functions involve some comparison between values on neighboring nodes and possible
values between neighboring nodes.

Advanced Calibration for Process Simulation User Guide 195


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Additional Calibration for Power Technologies

Recommendations for Bulk Refinement With Adaptive Meshing


In this section, two frequently used refinement criteria for adaptive meshing are presented.
These are the relative difference error and the local dose error that correspond to the
[Link] and [Link] arguments of the refinebox command of Sentaurus
Process (see Sentaurus™ Process User Guide, Adaptive Refinement Criteria). It is
advisable to define one refinement box per diffusion species. By doing so, you can choose
refinement box parameters for a certain species, independently from the other species
based on the implantation conditions pertaining to that particular species.
It is possible to demonstrate that, in the case of a simple Gaussian doping distribution, a
simple yet effective algorithm can be formulated to facilitate the choice of parameters for
adaptive meshing of refinement boxes. To bypass complex technical issues, only the
algorithm itself is discussed here, not the full theoretical foundation of the algorithm.
To apply the algorithm to a process flow, you review the process flow and copy all the
implantation steps pertaining to a particular implantation species into a list. After the list has
been obtained, you then find the implantation with the lowest dose (Dmin) and compute the
value of [Link] of the refinebox command as follows:

Dmin
[Link] = ------------- (13)
100.0
As a next step, [Link] must be assigned a value that is typically between 0.5 and 1.0.
The density of the mesh is sensitive to [Link] because it represents the target relative
change of the field across an edge. For many typical situations, a value of 1.0 gives a coarse
mesh, and a value of approximately 0.5 gives a fine mesh. The exact value of [Link]
depends on the sensitivity of the simulated device characteristics to the process mesh
variations and, therefore, the value must be found experimentally.
Then, the implantation with the smallest energy (Emin) is found, and the corresponding
standard deviation ([Link]) is obtained as:
set moments [implant material=Silicon $Species \
energy= $Emin dose= $Dose [Link]]

set [Link] [lindex $moments 5]

The smallest implantation standard deviation value determines the smallest allowed mesh
spacing that can be set in the refinebox command. The value of [Link] is
calculated as follows:

[Link]  [Link]
[Link] = ----------------------------------------------- (14)
4.0

Advanced Calibration for Process Simulation User Guide 196


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Additional Calibration for Power Technologies

Consider a process flow containing the following boron implantations:


implant boron dose= 1e13 energy= 400
implant boron dose= 5e12 energy= 800
implant boron dose= 1e14 energy= 40
implant boron dose= 2e15 energy= 10

Now, the parameters of an adaptive refinement box are obtained according to the proposed
algorithm. The second implantation has the smallest dose, so [Link] can be set
to 5e10. The last implantation has the smallest energy and, therefore, the smallest standard
deviation of 24 nm and, if [Link] equals 1.0, that gives the smallest allowed mesh
spacing of 6 nm. Finally, the corresponding refinement box for boron would be:
refinebox name= Global_Boron adaptive Silicon \
[Link]= "0.006 0.006 0.006" \
[Link]= "10 10 10" \
[Link]= "Boron= 5e10" \
[Link]= "Boron= 1.0" \
[Link]= "Boron= 1e15"

where [Link] is a cut-off concentration level for the relative difference error refinement
criterion.
When adaptive meshing is switched on, the default adaptive meshing parameters are
applied. However, there are two issues with keeping the default refinement box. First, it
applies one and the same parameter set for all species and this contradicts best practice.
Second, it allows mesh refinement on implantation damage even when no damage sensitive
model is used.
To deactivate the default adaptive refinement box, the following settings must be used along
with user-defined refinement boxes:
pdbSet Grid AdaptiveField [Link] 1e30
pdbSet Grid AdaptiveField [Link] 1e30
pdbSet Grid AdaptiveField [Link] 1e30

To accurately capture the amorphous–crystalline interface position, a damage refinement


box must be placed. Note that this is not necessary in the first part of process simulations
with AdvancedPowerDeviceMode, where models sensitive to implantation damage are
switched off. A typical damage refinement box definition is:
set targetLength 2e-4
set minValue [pdbGet Silicon AmorpDensity]
set maxValue [expr 1.001 * $minValue]

refinebox add name= PowerDeviceMesh_Damage adaptive Silicon \


[Link]= {Damage} [Link]= interval \
[Link]= "$targetLength" \
[Link]= "1.0" \
[Link]= "Damage= $minValue" \
[Link]= "Damage= $maxValue"

Advanced Calibration for Process Simulation User Guide 197


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Calibration of Wet Etching Rate Modification by Ion Implantation

In these examples, the refinement boxes are applied globally to the entire simulation
domain. However, it might be necessary to place some additional refinement boxes in the
critical device areas. If that is the case, then you should follow the layout (mask)-driven
refinement approach (see Sentaurus™ Process User Guide, Mask-Driven Meshing).

Refinement at Material Interfaces


For accurate modeling of boron dose loss at oxide–silicon interfaces, it is important to use a
good mesh refinement perpendicular to the interface. Otherwise, the amount of boron dose
loss (this is the dose of boron segregation from silicon into oxide) might strongly depend on
the mesh.
A mesh with approximately 1nm spacing, perpendicular to the interface, is often a good
choice. When testing the use of coarser mesh refinements at some interface regions, you
should ideally compare results with a reference simulation to a fine mesh, to check at least
once whether the device simulation results are affected by numeric errors related to
interface mesh refinement.
A good solution can combine a coarse global interface refinement, for example:
[Link]=Silicon
[Link]=0.008 [Link]=2.0

and a better refinement inside refinement boxes that cover the active device areas such as
channel regions (for example, [Link]=0.001).

Calibration of Wet Etching Rate Modification by Ion Implantation


You can use Sentaurus Process to scale the etching rate with a user-defined field. You
specify the field using the [Link] argument of the etch command. This
feature is available for etching simulations using the level set method.
In device fabrication, ion implantation can be used to modify the wet etching rate in surface
regions affected by implantation. Modifying the wet etching rate for SiO2 and SiN in diluted
hydrogen fluoride (HF) has been studied using data provided by Applied Materials and
published data [42][43].
This section summarizes key findings and recommendations from this calibration effort. The
corresponding parameter settings are not yet included in the Advanced Calibration file.

Calibration
The wet etching rate ratio (werr) is the ratio of the wet etching rate in implanted regions
versus not implanted regions.

Advanced Calibration for Process Simulation User Guide 198


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Calibration of Wet Etching Rate Modification by Ion Implantation

Ion implantations affect the werr of SiN and SiO2 by the following mechanisms:
• The etching rate increases with implantation damage as follows:
◦ For low doses, the etching rate increases linearly with the concentration of vacancies
generated by implantation. Using Sentaurus Process, you can calculate this vacancy
density with a full-cascade Monte Carlo implantation simulation. Alternatively, in good
approximation, the etching rate also increases linearly with the implantation damage
(Damage), which you can calculate with a regular Monte Carlo implantation simulation.
◦ For medium and high doses, the impact of damage on the etching rate saturates
towards a maximum damage-driven increase, which is a factor of approximately 2.5–
6.0.
• For very high implantation doses, there is an additional chemical effect. The etching rate
changes because implanted ions change the chemical composition of oxide or nitride.
After thermal annealing, typically, there is no more damage effect on werr, but the
chemical effect might be stable.
For the datasets studied, good agreement with measured werr has been achieved with the
following formula. For the damage effect:

Damage 1
werr Damage = 1.0 +  F – 1.0   ------------------------------------  ------------------------------------------------------------------------
- (15)
Damage reference   Damage   1  
------------------------------------
 1.0 +  Damage reference 
where:
• F is the saturation value for very high implantation Damage.
• Damage is the implantation damage field as calculated with Sentaurus MC.

•  is a parameter that determines the sharpness of the transition between the low
damage range at which werr increases linearly and the high damage range at which werr
is close to its saturation value F.
A good fit to measured werr data for many implanted species, provided by Applied Materials
has been obtained with the following calibrated coefficients:
• Oxide: F = 2.70, Damagereference = 5.2×1021 cm–3,  = 1.3
• Nitride: F = 2.45, Damagereference = 8.0×1021 cm–3,  = 1.5
For data from other sources, different values might be needed to obtain an excellent match.
In particular, [42] and [43] report about two times higher saturation values F compared to
these values.
The reason for the difference between experimental findings from different sources is not
known.

Advanced Calibration for Process Simulation User Guide 199


V-2024.03
Chapter 3: Guidelines for Additional Calibration
Calibration of Wet Etching Rate Modification by Ion Implantation

In addition to the damage effect, which dominates at low and medium implantation doses,
the following formula has been applied to selected cases, to model the chemical effect on
the wet etching rate:
C reference +   C species
factor chemical = ----------------------------------------------------- (16)
C reference +   C species

Here,  and  are coefficients. With Equation 16, for small concentrations
( C species « C reference ), factorchemical equals 1.0. For huge concentrations
( C species » C reference ), factorchemical equals /. Some implantation species (for example, F
and P) increase the etching rate; whereas, other species (such as B, C, and Si) decrease it
at high implantation doses (factorchemical < 1.0). This chemical effect is specific for each
species and has not been calibrated yet for all implantation species and concentrations.
Therefore, it is the responsibility of users to find good parameters for Equation 16 or a more
suitable formula for modeling the chemical effect on werr for a particular use case.

Application
To calculate implantation damage in oxide and nitride, use the following statements:
pdbSetBoolean Oxide Damage 1
pdbSetBoolean Nitride Damage 1

Ion implantation must be simulated with Sentaurus MC. In addition, you must use the latest
version of Advanced Calibration, that is:
AdvancedCalibration 2024.03

Note:
Older versions of Advanced Calibration do not support the calculation of Damage
in amorphous materials such as oxide and nitride.

It is recommended to set the parameter MCDFactor to 1.0 for all implantation species in
oxide and nitride:
pdbSetDouble Oxide Germanium MCDFactor 1.0
pdbSetDouble Nitride Germanium MCDFactor 1.0
pdbSetDouble Oxide Boron MCDFactor 1.0
pdbSetDouble Nitride Boron MCDFactor 1.0
...

Without these statements, the Advanced Calibration functions mcdfactor_<species>,


which are calibrated for implantation damage in silicon, are applied automatically to oxide
and nitride, but it is better if you use 1.0.
Similarly, for analytic implantation, it is recommended to set the damage scaling factors in
oxide and nitride to 1.0. For example:
fproc dfactor_Oxide_Boron { energy dose } { return 1.0 }

Advanced Calibration for Process Simulation User Guide 200


V-2024.03
Chapter 3: Guidelines for Additional Calibration
References

After setting MCDFactor or dfactor for oxide and nitride, the following example shows how
to define the scaling of the etching rate for oxide as a function of implantation damage, using
the calibration previously presented:
sel z="1.0+1.45*(Damage/8e21) / \
(exp((1.0/1.5)*log(1.0+exp(1.5*log(Damage/8e21)))))" \
Oxide name= EtchScale store

A subsequent etch command could be as follows:


etch time= 0.01 rate= 1 material= Oxide isotropic \
[Link] = "EtchScale" steps=1 [Link]

To ensure robust results for the etching, you might need to decrease the values of the
mgoals arguments dx, dy, and dz with respect to their defaults. The best choice depends on
the use case. For example:
mgoals dx=0.002 dy=0.002 dz=0.002

References
[1] P. Pichler, Intrinsic Point Defects, Impurities, and Their Diffusion in Silicon,
Computational Microelectronics, Vienna: Springer, 2004.
[2] H. Bracht, N. A. Stolwijk, and H. Mehrer, “Equilibrium Concentrations of Intrinsic Point
Defects in Silicon Determined by Zinc Diffusion,” in Proceedings of the Seventh
International Symposium on Silicon Materials Science and Technology
(Semiconductor Silicon), vol. 94-10, San Francisco, CA, USA, pp. 593–602B, May
1994.
[3] H. Bracht, E. E. Haller, and R. Clark-Phelps, “Silicon Self-Diffusion in Isotope
Heterostructures,” Physical Review Letters, vol. 81, no. 2, pp. 393–396, 1998.
[4] A. Ural, P. B. Griffin, and J. D. Plummer, “Self-Diffusion in Silicon: Similarity between
the Properties of Native Point Defects,” Physical Review Letters, vol. 83, no. 17,
pp. 3454–3457, 1999.
[5] N. E. B. Cowern et al., “Energetics of Self-Interstitial Clusters in Si,” Physical Review
Letters, vol. 82, no. 22, pp. 4460–4463, 1999.
[6] P. M. Fahey, P. B. Griffin, and J. D. Plummer, “Point defects and dopant diffusion in
silicon,” Reviews of Modern Physics, vol. 61, no. 2, pp. 289–388, 1989.
[7] I. Bork and H. Matsumoto, “On the Determination of Boron Diffusivities and Boron
Interstitial Pair Binding Energies in Silicon,” in International Conference on Simulation
of Semiconductor Processes and Devices (SISPAD), Tokyo, Japan, pp. 91–92,
September 1996.
[8] C. S. Rafferty et al., “Simulation of cluster evaporation and transient enhanced
diffusion in silicon,” Applied Physics Letters, vol. 68, no. 17, pp. 2395–2397, 1996.

Advanced Calibration for Process Simulation User Guide 201


V-2024.03
Chapter 3: Guidelines for Additional Calibration
References

[9] P. A. Stolk et al., “Physical mechanisms of transient enhanced dopant diffusion in


ion-implanted silicon,” Journal of Applied Physics, vol. 81, no. 9, pp. 6031–6050,
1997.
[10] L. Shao et al., “Retardation of boron diffusion in silicon by defect engineering,” Applied
Physics Letters, vol. 78, no. 16, pp. 2321–2323, 2001.
[11] L. F. Giles et al., “Transient enhanced diffusion of B at low temperatures under
extrinsic conditions,” Solid-State Electronics, vol. 49, no. 4, pp. 618–627, 2005.
[12] G. Impellizzeri et al., “Role of fluorine in suppressing boron transient enhanced
diffusion in preamorphized Si,” Applied Physics Letters, vol. 84, no. 11, pp. 1862–
1864, 2004.
[13] J. M. Jacques et al., “Fluorine-enhanced boron diffusion in amorphous silicon,”
Applied Physics Letters, vol. 82, no. 20, pp. 3469–3471, 2003.
[14] B. J. Pawlak et al., “Enhanced boron activation in silicon by high ramp-up rate solid
phase epitaxial regrowth,” Applied Physics Letters, vol. 86, p. 101913, 2005.
[15] S. Mochizuki et al., “Advanced Arsenic Doped Epitaxial Growth for Source Drain
Extension Formation in Scaled FinFET Devices,” in IEDM Technical Digest, San
Francisco, CA, USA, pp. 811–814, December 2018.
[16] R. Duffy et al., “Low-temperature diffusion of high-concentration phosphorus in
silicon, a preferential movement toward the surface,” Applied Physics Letters, vol. 86,
no. 8, p. 081917, 2005.
[17] X. Li et al., “Selective Epitaxial Si:P Film for nMOSFET Application: High
Phosphorous Concentration and High Tensile Strain,” ECS Transactions, vol. 64,
no. 6, pp. 959–965, 2014.
[18] V. C. Venezia et al., “Dopant redistribution effects in preamorphized silicon during low
temperature annealing,” in IEDM Technical Digest, Washington, DC, USA, pp. 489–
492, December 2003.
[19] T. Noda, “Modeling of End-of-Range (EOR) Defects for Indium Channel Engineering,”
in IEDM Technical Digest, Washington, DC, USA, pp. 839–842, December 2001.
[20] H. Graoui et al., “TCAD Modeling and Experimental Investigation of Indium for
Advanced CMOS Technology,” in 14th International Conference on Ion Implantation
Technology (IIT), Taos, NM, USA, pp. 126–130, September 2002.
[21] C. Zechner et al., “Modeling Ultra Shallow Junctions Formed by Phosphorus-Carbon
and Boron-Carbon Co-implantation,” in MRS Symposium Proceedings,
Semiconductor Defect Engineering–Materials, Synthetic Structures and Devices II,
vol. 994, San Francisco, CA, USA, p. 0994–F11–17, April 2007.
[22] C.-L. Liu et al., “Ab initio modeling and experimental study of C–B interactions in Si,”
Applied Physics Letters, vol. 80, no. 1, pp. 52–54, 2002.

Advanced Calibration for Process Simulation User Guide 202


V-2024.03
Chapter 3: Guidelines for Additional Calibration
References

[23] I. Ban, M. C. Öztürk, and E. K. Demirlioglu, “Suppression of Oxidation-Enhanced


Boron Diffusion in Silicon by Carbon Implantation and Characterization of MOSFET’s
with Carbon-Implanted Channels,” IEEE Transactions on Electron Devices, vol. 44,
no. 9, pp. 1544–1551, 1997.
[24] G. Zschätzsch et al., “Fundamental Study on the Impact of C Co-Implantation on Ultra
Shallow B Junctions,” in Extended Abstracts of the Ninth International Workshop on
Junction Technology (IWJT), Kyoto, Japan, pp. 123–126, June 2009.
[25] B. Colombeau et al., “Ultra-Shallow Carborane Molecular Implant for 22-nm node
p-MOSFET Performance Boost,” in Extended Abstracts of the Ninth International
Workshop on Junction Technology (IWJT), Kyoto, Japan, pp. 27–30, June 2009.
[26] C. I. Li et al., “Enabling Solutions for 28nm CMOS Advanced Junction Formation,” in
18th International Conference on Ion Implantation Technology (IIT), Kyoto, Japan,
June 2010.
[27] IST Project 027152 ATOMICS, Advanced Front-End Technology Modeling for
Ultimate Integrated Circuits, for more information, go to https://
[Link]/content/dam/iisb2014/en/Documents/Research-Areas/
Simulation/[Link].
[28] L. S. Adam et al., “Diffusion of implanted nitrogen in silicon,” Journal of Applied
Physics, vol. 87, no. 5, pp. 2282–2284, 2000.
[29] L. S. Adam et al., “Diffusion of Implanted Nitrogen in Silicon at High Doses,” in MRS
Symposium Proceedings, Si Front-End Processing–Physics and Technology of
Dopant-Defect Interactions III, vol. 669, p. J3.10, January 2001.
[30] N. Zographos et al., “Process Modeling of Chemical and Stress Effects in SiGe,” in
19th International Conference on Ion Implantation Technology (IIT), Valladolid, Spain,
pp. 212–216, June 2012.
[31] R. Kögler et al., “Excess vacancies in high energy ion implanted SiGe,” Journal of
Applied Physics, vol. 101, no. 3, p. 033508, 2007.
[32] M. Posselt, L. Bischoff, and J. Teichert, “Influence of dose rate and temperature on
ion-beam-induced defect evolution in Si investigated by channeling implantation at
different doses,” Applied Physics Letters, vol. 79, no. 10, pp. 1444–1446, 2001.
[33] A. Renau, “Device performance and yield - A new focus for ion implantation,” in
Extended Abstracts of International Workshop on Junction Technology (IWJT),
Shanghai, China, 2010.
[34] F. Khaja et al., “Benefits of Damage Engineering for PMOS Junction Stability,” in 18th
International Conference on Ion Implantation Technology (IIT), Kyoto, Japan, June
2010.
[35] F. A. Khaja et al., “Physical understanding of cryogenic implant benefits for electrical
junction stability,” Applied Physics Letters, vol. 100, no. 11, p. 112102, 2012.

Advanced Calibration for Process Simulation User Guide 203


V-2024.03
Chapter 3: Guidelines for Additional Calibration
References

[36] R. D. Goldberg, J. S. Williams, and R. G. Elliman, “Amorphization of silicon by


elevated temperature ion irradiation,” Nuclear Instruments and Methods in Physics
Research B, vol. 106, no. 1–4, pp. 242–247, 1995.
[37] H.-J. Gossmann et al., “Predictive Process Simulation of Cryogenic Implants for
Leading Edge Transistor Design,” in 19th International Conference on Ion
Implantation Technology (IIT), Valladolid, Spain, pp. 225–228, June 2012.
[38] A. Schmidt et al., “Compact Process Model of Temperature Dependent Amorphization
Induced by Ion Implantation,” in International Conference on Simulation of
Semiconductor Processes and Devices (SISPAD), Bologna, Italy, pp. 197–200,
September 2010.
[39] N. Zographos, A. Tsibizov, and C. Zechner, “Continuum Modeling of Implantation and
Thermal Processes for Advanced Devices Formation,” in 20th International
Conference on Ion Implantation Technology (IIT), Portland, OR, USA, pp. 189–194,
June 2014.
[40] N. Ioannou et al., “Germanium substrate loss during low temperature annealing and
its influence on ion-implanted phosphorous dose loss,” Applied Physics Letters,
vol. 93, no. 10, p. 101910, 2008.
[41] Y.-S. Oh and D. E. Ward, “A Calibrated Model for Trapping of Implanted Dopants at
Material Interface During Thermal Annealing,” in IEDM Technical Digest, San
Francisco, CA, USA, pp. 509–512, December 1998.
[42] E. Bellandi and V. Soncini, “SiO2 etch rate modification by ion implantation,” Thin
Solid Films, vol. 524, pp. 75–80, December 2012.
[43] R. Charavel and J.-P. Raskin, “Etch Rate Modification of SiO2 by Ion Damage,”
Electrochemical and Solid-State Letters, vol. 9, no. 7, pp. G245–G247, 2006.

Advanced Calibration for Process Simulation User Guide 204


V-2024.03
4
Advanced Calibration for 4H-SiC Process
4

Simulation

This chapter introduces how to use Advanced Calibration for silicon carbide (SiC).

Content of Advanced Calibration File for 4H-SiC Simulation


Sentaurus Process has one material corresponding to silicon carbide – SiliconCarbide –
which also has an alias SiC. The default parameters for material SiliconCarbide
correspond to the 4H-SiC polytype. The Advanced Calibration file for SiC contains the
recommended settings for 4H-SiC simulations. Advanced Calibration mainly supports
process simulation of the 4H-SiC polytype, because it is the most widely used SiC polytype
in electronics production. Only some of its parameters are valid for other SiC polytypes,
therefore, the parameter file must not be used for the simulation of other SiC polytypes as it
is, but must be adapted appropriately by users. In general, this set of parameters is less
mature than the one for silicon and SiGe, especially with respect to dopant activation and
diffusion, and the treatment of point defects in SiC.
The Advanced Calibration file AdvCal_SiC_2024.[Link] for 4H-SiC is divided into the
following parts, which contain numbered sections and are executed in sequence:
• Part 1: Basic Model Switches
• Part 2: Settings for Monte Carlo Implantation
• Part 3: Comprehensive Models

Part 1: Basic Model Switches


Part 1 of the Advanced Calibration file offers a choice that is recommended for most SiC
applications.
It is often useful to reduce the number of equations to be solved in order to save CPU time.
On the other hand, it might be sometimes necessary to select models that are more

Advanced Calibration for Process Simulation User Guide 205


V-2024.03
Chapter 4: Advanced Calibration for 4H-SiC Process Simulation
Part 1: Basic Model Switches

sophisticated than the default choice, even at the cost of increasing the CPU time. In this
chapter, the possible changes with respect to the default model switches are explained.
The most elegant way to change a basic model switch is adding a corresponding line at the
beginning of a project-specific or user-specific calibration file, which is sourced after loading
the Advanced Calibration file. In this way, you can track the differences to the default
suggestions of Synopsys.
First, maxAnnealT is increased to the melting point value in 4H-SiC (2730°C) from the
default 1400°C to allow high-temperature annealings, which are typical for SiC process
simulations:
pdbSet Diffuse maxAnnealT 2730.0

The set4H-SiC procedure sets the number of lattice parameters for the 4H-SiC polytype of
SiliconCarbide. Although these parameters are the default ones, the procedure is placed
into the Advanced Calibration file as a reference for users who want to create their own
parameter files for other SiC polytypes, based on SiliconCarbide material.
Numeric solver parameters, which are necessary to ensure correct simulation results at
low-temperature anneal (NegErrCntrl) and stable convergence with the transient
activation model (fullNewton), are set by the following command:
math NegErrCntrl fullNewton

Poisson Equation
The Poisson equation is switched on in SiC by the following command:
pdbSet SiC Potential Poisson 1

Besides the formally more correct distribution of electrostatic potential and mobile carrier
densities, simulations with the Poisson equation lead to better convergence with
Fermi-dependent models, due to smoother potential and carrier profiles.
The value of permittivity for 4H-SiC is set to 10.03, that is, the one which applies to the
{0001} direction (parallel to the c-axis). The value of permittivity normal to the c-axis equals
9.66 [1]:
pdbSet SiC Potential Permittivity 10.03

The intrinsic carrier concentration (ni) of 4H-SiC is set by the density-of-states (DOS)
model:
pdbSet SiC Potential [Link] 1

Advanced Calibration for Process Simulation User Guide 206


V-2024.03
Chapter 4: Advanced Calibration for 4H-SiC Process Simulation
Part 1: Basic Model Switches

Dopant Cluster Models in 4H-SiC


In Sentaurus Process, the Solid model is the default for dopant activation in SiC. The
default values of the Solubility parameters for Al and B are taken without calibration from
[2]; whereas, the values of the Solubility parameters for N and P are based on theoretical
work [3]. The phosphorus solubility value was increased by a factor of four to match
experimental values of activation at 1700°C from [4].
A major disadvantage of the Solid model is that it does not reflect the nonequilibrium nature
of dopant activation. For example, with the Solid model, the activation instantly follows the
annealing temperature. In Advanced Calibration, the Transient activation model is
switched on for Al, B, N, and P. Activation of dopants in SiC is a complex process, which
includes dynamic interaction of dopants with different defects and defect agglomerations. It
depends on the implantation conditions, the presence of co-implants, the anneal
atmosphere, the ramp rates, and so on [5][6][7][8][9]. Although the Transient model itself
cannot simulate such complex behavior in detail and cannot capture all the physical effects,
it is still more physical than the Solid model and can emulate, to some extent, the
nonequilibrium transient behavior of the activation process.
The current Advanced Calibration values of the Transient model parameters for Al, B, N,
and P were obtained by qualitative calibration to sheet resistance, Hall measurements, and
capacity measurements described in the literature. Most of the experimental structures had
homogeneously implanted layers, obtained by multiple implantations, and were annealed at
approximately 1500–1700°C [4][8][9][10][11][12][13][14][15], and at a higher temperature for
aluminum [5][16]. In general, qualitative rather than quantitative agreement to published
experiments was achieved. Some experimental trends (such as reduction of activation for Al
with increasing annealing time at 1800°C in [5]) are not captured. Overall calibration quality
is much worse than for the Transient activation model in silicon.
However, taking into account the strong dependency of the activation on particular process
conditions, you should use the Transient activation parameters as a starting point for
custom calibration of dopant activation. Since exact information about amorphization is not
available in most publications (neither is it calibrated in Sentaurus MC for 4H-SiC), the
AcInit and AmInit parameters for initial dopant activation are both set to the same value
1015 cm–3, which is smaller than the typical background doping concentration in epitaxial
layers. For fine-tuning dopant activation in SiC and, sometimes, also for improving the
convergence of SiC process simulation, you can change the values of the parameter
CluRate for selected dopants, as needed for a specific technology. CluRate scales the rate
at which the equilibrium balance between active dopants and clustered dopants is
established.

Advanced Calibration for Process Simulation User Guide 207


V-2024.03
Chapter 4: Advanced Calibration for 4H-SiC Process Simulation
Part 1: Basic Model Switches

It is difficult to interpret the experimental results of dopant activation in SiC for various
reasons:
• Uncertainties about the value of the Hall scattering factors (especially for holes) [17][18].
• Temperature dependency of the degeneracy factors of acceptors, which is not included
in the IncompleteIonization model of Sentaurus Device [19][20][21].
• Uncertainties about the ionization levels of active dopants (especially for concentrations
above 1019 cm–3).
• The presence of high-concentration effects (for example, hopping transport) during the
ionization of active dopants and carrier transport [22].
In addition, ion-implanted layers contain high concentrations of defects, which remain at
relatively high concentrations even after high-temperature anneals. These defects serve as
deep-doping compensation centers and carrier-scattering centers, thereby affecting the net
active doping concentration, the concentrations of the mobile carriers, and the carrier
mobility. An interesting approach for the consideration of such defects is suggested in [23].
Note:
Such defects have not been included in the simulations, which might explain the
systematic underestimation of sheet resistance, which was observed in the
calibrations.

Dopant Transport at the Oxide–SiC Interface


Dopant dose loss is not calibrated for the oxide–SiC (Ox_SiC) interface in TCAD Sentaurus
tools. The existing Parameter Database default parameters of Sentaurus Process are
copied literally from the Oxide_Si interface and, therefore, they do not guarantee the
trustworthiness of simulation results.
Since there are no trustworthy parameters for the segregation of dopants, the simplest
possible HomNeumann boundary condition is set explicitly at the Ox_SiC interface in the
Advanced Calibration file. Of course, you can specify other types of boundary condition (for
example, Segregation or ThreePhaseSegregation) at the Ox_SiC interface as well as the
parameters for the boundary conditions.

Boron Evaporation From the SiC Surface


Significant boron dose loss has been observed experimentally after high-temperature
(> 1500°C) anneal of 4H-SiC [2][12][24]. Advanced Calibration simulates boron dose loss by
boron evaporation at SiC–gas interfaces using natural boundary conditions:
pdbSetSwitch Gas_SiC Boundary BoundaryCondition Natural

Advanced Calibration for Process Simulation User Guide 208


V-2024.03
Chapter 4: Advanced Calibration for 4H-SiC Process Simulation
Part 2: Settings for Monte Carlo Implantation

The boron evaporation rate is controlled by the Ksurf parameter. The value of Ksurf is set
in Advanced Calibration by the following command:
pdbSetDouble Gas_SiC Boron Ksurf {[expr 1e4*[pdbGet SiC B Dstar]]}

This value is suitable for 1700°C anneals from [24], where low-temperature preannealing (2
hours at 900°C) was used to reduce boron dose loss: For high-temperature anneals without
preannealing [12], an order of magnitude larger value of Ksurf is required. For the correct
simulation of boron evaporation at high-temperature (> 1500°C) anneals, it is necessary to
remove the covering oxide from the SiC surface. For all other dopants except for boron, zero
values of Ksurf are set at SiC–gas interfaces by default, which is equivalent to the
Neumann boundary conditions for these dopants.

Part 2: Settings for Monte Carlo Implantation


There has been additional calibration of Sentaurus MC to improve the accuracy of
simulations for implantations of aluminum, nitrogen, boron, phosphorus, and hydrogen into
4H-SiC with a wafer surface orientation close to (0001).
The calibration is based on comparison of simulation results to SIMS measurements of
as-implanted profiles, either taken from publications [25][26][27][28][29] or provided by
companies who support the calibration efforts of Synopsys. The data includes implantations
at room temperature as well as implantations into heated substrates. The calibration
focused on the following range of implantation energies and doses:
• Aluminum and nitrogen:
◦ Energy range between 10 keV and 5 MeV
◦ Room temperature or hot (often 500°C) implantation
◦ Focus on off-channel implantations and implantation in [0001] channeling direction;
the calibration is less predictive for [1123] channeling
• Boron:
◦ Energies between 10 keV and 5.8 MeV
◦ Room temperature or hot (often 500°C) implantation
◦ Focus on off-channel implantations; three data points for [0001] channeling direction
• Phosphorus:
◦ Energies between 10 keV and 5 MeV
◦ Room temperature only
◦ Off-channel implantations and implantation in [0001] channeling direction

Advanced Calibration for Process Simulation User Guide 209


V-2024.03
Chapter 4: Advanced Calibration for 4H-SiC Process Simulation
Part 2: Settings for Monte Carlo Implantation

• Hydrogen:
◦ Energies between 50 keV and 1500 keV
◦ Room temperature implantation (actual wafer temperature up to 150°C) only
◦ Off-channel implantations only
In total, more than 200 SIMS profiles have been used for the calibration, typically, with a
small wafer miscut angle and a small tilt angle. The largest number of SIMS profiles was
used for the calibration of Al and N implantation. Only about 20 profiles were used for the
calibration of P implantation.
When using tilted implantations into wafers with miscut, the results depend on the miscut
angle, the direction of the miscut, the crystal orientation of the primary wafer flat, the tilt
angle, and the ion beam rotation angle. In some published data used for the calibration, not
all of the relevant angles and orientations were specified explicitly. Therefore, assumptions
were made about correct angles, or data was omitted from the calibration.
The calibration is widely based on implantation data for off-channel directions and a smaller
amount of data for [0001] channeling directions or close to the [0001] channeling direction.
For MeV Al and P implantation, the shape of the channeling tails shows some mismatch with
SIMS data used in the calibration. The calibration is less accurate for other channeling
directions in SiC.
For hot implantations, the highest implantation energies of the experimental data that was
referred are approximately 1000 keV, and the reproducibility of results for a higher energy
than that might be less reliable. For P, the calibration is limited to implantations at room
temperature and might need to be modified for implantations at different temperatures.
The displacement threshold and the temperature dependency of damage were adjusted
taking into account experimental data from Kalinina [30] and the references therein. The
displacement threshold in 4H-SiC is larger for Si atoms than for C atoms. It depends on the
direction of the displacement, the nature of the impacting projectile, and the amount of
already accumulated damage. The smallest displacement threshold value in a perfect
crystal reported in the literature (for C atoms) is 18 eV [31]. In the binary collision simulators,
typically some averaged values for the displacement threshold are used. Sentaurus MC
allows only a single constant displacement threshold value in SiC. In Advanced Calibration
for 4H-SiC, the [Link] value has increased from the default 15 eV to 20 eV to achieve
better correspondence to the real value.

Parameters Used in the Calibration


Part 2 of AdvCal_SiC_2024.[Link] contains calibrated model parameters for MC
implantation of Al, N, B, P, and H into 4H-SiC and Oxide.

Advanced Calibration for Process Simulation User Guide 210


V-2024.03
Chapter 4: Advanced Calibration for 4H-SiC Process Simulation
Part 2: Settings for Monte Carlo Implantation

Some of the parameters depend on the implantation energy and on the wafer temperature
during implantation. For a parameter definition as a function of energy, all parameters are
defined within the callback procedure _AdvCal::ImpPreProcess, which is called by
Sentaurus Process before executing the actual MC calculation, and to which the
implantation conditions such as species, energy, and dose are handed over as arguments.
To overwrite the settings performed by the _AdvCal::ImpPreProcess procedure, you can
define the UserImpPreProcess procedure in your own specific parameter file. The
UserImpPreProcess procedure uses syntax similar to that of _AdvCal::ImpPreProcess
(see Example of a User Calibration File on page 189).
The wafer temperature during implantation is accessed inside the procedure
_AdvCal::ImpPreProcess by the simGetDouble Diffuse temp statement. You must
specify the implantation temperature (in degree Celsius) in the implant command. For
example:
implant Arsenic dose= 2.0e+13 energy= 160 tilt= 0 temperature= 450

If no temperature is specified, then the simGetDouble Diffuse temp statement gives the
default implantation temperature (26.84°C), which is specified (in kelvin) by:
pdbSet MCImplant Temperature 300.0

The energy dependence and temperature dependence of parameters is usually expressed


with the help of the Sentaurus Process function LinInterpol, which performs a piecewise
linear interpolation based on a list of (x,y) pairs. For the energy dependence of the
parameter DebyeTemperature at high energies, the function XYLogInterpol is used, which
performs a piecewise linear interpolation between points in a plot, which is logarithmic in the
x-axis and y-axis.
The following sections briefly discuss the parameters used in the calibration from a
calibration perspective. For the definition of these parameters, see the Sentaurus™ Process
User Guide.

[Link], [Link]
The [Link] parameter scales the nonlocal electronic stopping. It is defined as a function
of energy and, for B and N, also as a function of temperature. Small changes of [Link] can
be used to adjust the depth of the profile, if needed.
For low energies (< 40 keV), the profile depth is not very sensitive to changes of [Link].
You can adjust the profile depth for low-energy implantations by fine-tuning the parameter
[Link], which scales the energy loss in nuclear scattering.

DebyeTemperature, [Link]
These parameters are relevant for scattering processes into channeling directions or out of
channeling directions.

Advanced Calibration for Process Simulation User Guide 211


V-2024.03
Chapter 4: Advanced Calibration for 4H-SiC Process Simulation
Part 2: Settings for Monte Carlo Implantation

In theory, DebyeTemperature should be a material constant. It plays a role in the impact of


thermal lattice vibrations on the scattering of ions and, thereby, influences the fraction of
ions that travels along channeling directions and, for some implantation conditions, that form
a second channeling peak. In practice, the best match to SIMS data over a wide range of
implantation conditions is obtained when defining DebyeTemperature as a function of
implantation energy and temperature. With increasing implantation energy, you must reduce
DebyeTemperature, especially for room-temperature implantations. This is reflected in the
formulas implemented.
The [Link] parameter also influences the rate of ion scattering from random directions into
channeling directions, and vice versa. It is used when Sentaurus Process determines
whether subsequent collisions should be considered to be multibody collisions. Like
DebyeTemperature, you can use [Link] to adjust the fraction of ions in the channeling
direction.
Fine-tuning DebyeTemperature is preferable to fine-tuning [Link], because there are fewer
side effects for implantations with different tilt or rotation angles. Therefore, in most practical
cases (except perhaps implantations in [1123] channeling directions or close to them), it is
recommended to use only DebyeTemperature for fine-tuning the fraction of ions in the
“channeling peak” of a profile.
For medium and high doses, the value of [Link] is also important (see [Link], [Link]).

[Link], [Link], [Link]


The [Link] and [Link] parameters determine the fraction of nonlocal electronic
stopping and, therefore, mainly affect the depth of channeling ions. The smaller [Link],
the less nonlocal electronic stopping, and the deeper the profile, especially in the channeling
part. The [Link] parameter describes the dependence on implantation energy and is
usually not touched for fine-tuning.
The [Link] parameter scales the energy loss by local electronic stopping. Often, a
fine-tuning of [Link] has a similar affect on profiles as a fine-tuning of [Link].

[Link], [Link]
The [Link] parameter scales the amount of crystal damage created during implantation.
With increasing crystal damage, the ion channels inside the SiC crystal eventually become
blocked. Therefore, for medium and high doses, [Link] governs the number of ions that
end up in the channeling tail. Furthermore, the crystal damage is used to calculate the
amorphization.
The [Link] parameter is important only for high doses and describes the saturation of
crystal damage by recombination during implantation.

Advanced Calibration for Process Simulation User Guide 212


V-2024.03
Chapter 4: Advanced Calibration for 4H-SiC Process Simulation
Part 2: Settings for Monte Carlo Implantation

[Link], [Link]
Sentaurus MC uses two methods for the calculation of binary collisions. For most energies,
scattering tables are used. For the highest energies, the collisions are calculated using a
Coulombic form of the potential between the collision partners. In the Sentaurus MC
defaults, the high-energy range starts when the dimensionless energy exceeds the table
limit of 1000. This corresponds to an energy of ~5180 keV for B–C collisions and ~9780 keV
for B–Si binary collisions, and even higher energies for heavier particles. For N–C collisions,
a dimensionless energy of 1000 corresponds to ~8600 keV.
You can define a transition energy range in which Sentaurus MC interpolates between the
two methods. In AdvCal_SiC_2024.[Link], such a transition range is defined for B
implantations only. The following parameters are used:
pdbSetDouble SiC Boron [Link] 3800
pdbSetDouble SiC Boron [Link] 5200

These mean that, up to 3800 keV, scattering tables are used. For collisions with B energies
higher than 3800 keV, binary collisions are calculated using interpolation between the two
methods. If energies exceed 5200 keV or if the dimensionless energy for collisions exceeds
the value 1000, then binary collisions are calculated based on a Coulombic form of the
interatomic potential.

Recommendations for Fine-Tuning Amorphization


The calibration presented in this chapter is based entirely on comparison of simulated
profiles to SIMS data. No calibration has been performed for a possible amorphization of
SiC by high-dose ion implantations, due to lack of suitable experimental data.
If you want to study amorphization of SiC using Monte Carlo implantation, then you should
test the accuracy of TCAD results against measured data on the existence and thickness of
amorphized layers after implantation. The following parameters are recommended for
fine-tuning of amorphization:
• [Link]

• [Link]

A change of [Link] has a stronger impact on the channeling tail of an as-implanted


profile.
To completely suppress amorphization for hot implantations, set [Link] to a high value (for
example, 10). This suppresses the increase of crystal damage beyond a level of Ndens/
[Link], where Ndens is the lattice density.

Advanced Calibration for Process Simulation User Guide 213


V-2024.03
Chapter 4: Advanced Calibration for 4H-SiC Process Simulation
Part 3: Comprehensive Models

Illustration of Calibration Results


Typically, using the calibrated parameters will lead to a significantly better agreement
between simulations results and SIMS data for high-energy implantations. This is illustrated
in Figure 38.
A detailed discussion of the simulation accuracy for all of the data studied is beyond the
scope of this user guide.

Figure 38 Comparison of simulation results for default and calibrated parameters with nitrogen
SIMS from [25]: implantation conditions are N 400 keV, dose 1.0e15 cm–2, tilt=7.2,
rotation=0, surface orientation (001), miscut=3.5° towards (110). The direction of
the primary wafer flat (110) is assumed, and simulations have been performed with
Sentaurus Process Version T-2022.03.

1020
Sentaurus Process default
Advanced Calibration
SIMS
Concentration [/cm3]

1019

1018

0 200 400 600


Depth [nm]

Part 3: Comprehensive Models


This section discusses the comprehensive models in the AdvCal_SiC_2024.[Link] file.

Point Defects in SiC


See section 3.1 of AdvCal_SiC_2024.[Link].
In most SiC process simulations, simple models are used to calculate the diffusion of
dopants and the fraction of dopants at substitutional lattice sites. By default, the Sentaurus
Process Constant diffusion model is used for dopant diffusion. With Advanced Calibration,
the Transient model is used to calculate the fraction of dopants at substitutional sites and
the fraction inside dopant clusters, for all important species (Al, B, N, and P). Without

Advanced Calibration for Process Simulation User Guide 214


V-2024.03
Chapter 4: Advanced Calibration for 4H-SiC Process Simulation
Part 3: Comprehensive Models

Advanced Calibration, the Solid model is used to describe the fraction of substitutional
dopants in SiC. The concentration of point defects is not taken into account in these models
for dopants (Constant, Transient, and Solid). Therefore, to reduce the simulation time,
the point-defect transport equations are not solved in SiC by default.
To enforce the computation of point-defect transport in SiC, use the following commands:
pdbSet SiC [Link] 1

pdbSetBoolean Defect ForcedTurnOn 1

With this choice of models, switching on the point defects does not change the diffusion and
activation of dopants, but it will slow down the simulation, especially for oxidations.
The following command provides the correct initialization of point defects at the beginning of
a diffuse command:
pdbSet Diffuse [Link] 1

Calibration for Carbon Vacancies and Carbon Interstitials


See section 3.2 of AdvCal_SiC_2024.[Link].
Z1/2 centers are important lifetime-killing defects in lightly n-doped SiC [32]. They have been
identified [33] as different charge states of carbon vacancies (VC). VC are formed already
during the growth of epitaxial SiC films [34], and their concentration changes during
high-temperature thermal anneal and oxidation. Process simulations that determine the
distribution of VC concentration in SiC devices can help to describe electron–hole
recombination in devices.
A process model for VC and carbon interstitials (CI) has been calibrated [35] against VC
profiles measured with deep-level transient spectroscopy [34][36][37][38]. The model
includes the drift and diffusion of VC and CI, bulk recombination, recombination of CI at
defects in the SiC substrate, and surface boundary conditions for VC and CI for oxidation
and inert thermal anneals. During oxidation, CI are injected at the oxidizing SiC surface.
Silicon vacancies and silicon interstitials, as well as antisite defects, are not included in this
model.
The procedure PointDefectModel provides an updated version of the original calibration
[35]. In this updated version, the oxidation rate, which scales the amount of CI injection
during oxidation, is calculated by Sentaurus Process, instead of using a hard-coded time-
and temperature-dependent oxidation rate. After this change, a recalibration of model
parameters against the same collection of data was performed, with results widely similar to
those shown in [35].
To use this model, you must call the procedure PointDefectModel after loading the
Advanced Calibration file for SiC and define an initial concentration of VC in the SiC material,
for example, by using the select command.

Advanced Calibration for Process Simulation User Guide 215


V-2024.03
Chapter 4: Advanced Calibration for 4H-SiC Process Simulation
Part 3: Comprehensive Models

Contents of PointDefectModel Procedure


The computation of point defects is switched on, but only for carbon point-defects. Enforcing
a calculation of silicon point-defects, as well, has no impact on the results, but it will
significantly slow down the simulation, especially for oxidations. VC can take several charge
states; while for CI, for simplicity, only the neutral CI are included in the model.
The parameter values for the equilibrium concentration, the diffusivity, and the bulk
recombination rate of C point defects have been determined by calibration. At oxide–SiC
interfaces, the parameters for boundary conditions for CI and VC are defined. At these
interfaces, CI recombine quickly during inert anneals (ReactionSpeed=0) and slowly during
oxidation. The parameters theta and Gpow govern the rate of CI injection during oxidation.
During thermal anneals, SiC is sometimes covered by a C-cap, to avoid surface
decomposition. A C-cap layer can be considered a carbon-rich environment. It increases the
equilibrium concentration of CI and decreases the equilibrium concentration of VC under the
cap. You can modify the equilibrium concentrations at the surface by defining the terms
IntCarbonInterfaceCStarFactor and VacCarbonInterfaceCStarFactor. For example
(here, for simplicity, the C-cap is modeled by an oxide):
term Oxide /SiliconCarbide name=IntCarbonInterfaceCStarFactor \
store add \
eqn= "(\[simGetDouble Diffuse pO2\] > 0) ? 1.0 : (\[Arr 2.7156e3 1\])"

term Oxide /SiliconCarbide name=VacCarbonInterfaceCStarFactor \


store add \
eqn= "(\[simGetDouble Diffuse pO2\] > 0) ? 1.0 : (1.0/\[Arr 2.7156e3 \
1\])"

Limitations of the model are:


• Most importantly, the model has been calibrated only for processes without ion
implantation. It does not produce accurate results in processes with ion implantation.
Implantation creates a high concentration of excess interstitials. In subsequent anneals,
some of these interstitials form extended defects, such as dislocation loops, and other
interstitials contribute to the bulk recombination of CI or VC. Therefore, an accurate
model of CI cluster formation is a prerequisite for the accurate calculation of the VC
concentration after ion implantation and anneal. However, such a model for CI clusters is
not yet available for SiC.
• Oxidation rates are strongly anisotropic in SiC. The model calibration is based on
experiments on planar SiC samples with a surface orientation close to the (0001)
Si-terminated surface. It is not known whether interstitial injection during oxidation must
be modified for the oxidation of surfaces with different crystal orientations, such as
vertical surfaces at trench sidewalls.
• It is possible that some parameters might need to be fine-tuned for highly doped regions.
The calibration is based entirely on experiments with low-doped SiC epitaxial films.

Advanced Calibration for Process Simulation User Guide 216


V-2024.03
Chapter 4: Advanced Calibration for 4H-SiC Process Simulation
Calibration of Oxidation Rates (Restricted)

To utilize the VC concentration in Sentaurus Device, save the profile as a PMIUSerField.


For example, before saving the final structure in the TDR format, insert:
sel z="VacCarbon" name=PMIUserField0 store

In this way, the VC profile can be loaded into Sentaurus Device as PMIUserField0. In the
Physics section of the Sentaurus Device command file, specify a trap concentration with a
concentration defined by SFactor= "PMIUserField0" and trap properties that correspond
to Z1/2 defects.

Calibration of Oxidation Rates (Restricted)


A comprehensive calibration of oxidation rates in dry (O2) or mixed (O2 mixed with H2O)
atmospheres is contained in an additional encrypted file. This module is available with a
license. To load this additional calibration of SiC oxidation rates, use the following command:
AdvancedCalibration PowerSiC 2024.03

The calibration is based on the Deal–Grove model combined with two correction terms as
proposed by Massoud [39]. Model parameters have been optimized using Sentaurus
Calibration Workbench, against a large collection of measured oxide thickness data
provided to Synopsys by Centrotherm (oxides grown with Centrotherm’s equipment
[Link] 150 and [Link] 200), as well as data extracted from publications [40]–[51].
The calibration for dry oxidation covers the following range of experimental conditions:
• Thin and thick oxides (few nm to a few μm)

• Temperatures from 950°C to 1500°C


• All surface orientations (Si-face, C-face, a-face, m-face, and interpolation in between)
• Variation in pressure
• Variation in wafer doping
The calibration for wet oxidation has been focused on mixed (O2 and H2O) atmospheres. It
has been reported [52][53] that for SiC oxidation at temperatures lower than 1200°C, the
oxidation rates for pure H2O atmosphere are much smaller than for mixed (O2 and H2O)
atmospheres.
The present model adds the oxidation rate contributions from O2 and H2O oxidants. This is
simple but inaccurate for SiC. A more complex model is needed, in which the simultaneous
presence of both O2 and H2O leads to increased oxidation rates. However, the available
data is too scarce to support a reliable calibration of a more complex model for all possible
conditions. The calibration is accurate only for mixed atmospheres. For oxidation in a pure
H2O atmosphere (without O2), calculated oxidation rates are too high. To get correct oxide

Advanced Calibration for Process Simulation User Guide 217


V-2024.03
Chapter 4: Advanced Calibration for 4H-SiC Process Simulation
References

thickness in the pure H2O atmosphere, you might need to reduce oxidation rate parameters,
such as those governing the Massoud corrections.

References
[1] L. Patrick and W. J. Choyke, “Static Dielectric Constant of SiC,” Physical Review B,
vol. 2, no. 6, pp. 2255–2256, 1970.
[2] M. K. Linnarsson et al., “Aluminum and boron diffusion in 4H-SiC,” in MRS
Symposium Proceedings, Silicon Carbide—Materials, Processing, and Devices,
vol. 742, pp. K6.1.1–K6.1.11, 2002.
[3] M. Bockstedte, A. Mattausch, and O. Pankratov, “Solubility of nitrogen and
phosphorus in 4H-SiC: A theoretical study,” Applied Physics Letters, vol. 85, no. 1,
pp. 58–60, 2004.
[4] M. Laube et al., “Electrical activation of high concentrations of N+ and P+ ions
implanted into 4H–SiC,” Journal of Applied Physics, vol. 92, no. 1, pp. 549–554, 2002.
[5] Y. Negoro et al., “Electrical activation of high-concentration aluminum implanted in
4H-SiC,” Journal of Applied Physics, vol. 96, no. 9, pp. 4916–4922, 2004.
[6] K. A. Jones et al., “Effects of high-temperature anneals on 4H–SiC Implanted with Al
or Al and Si,” Journal of Applied Physics, vol. 96, no. 10, pp. 5613–5618, 2004.
[7] A. Poggi et al., “Effects of heating ramp rates on the characteristics of Al implanted
4H–SiC junctions,” Applied Physics Letters, vol. 88, no. 16, p. 162106, 2006.
[8] J. Senzaki, K. Fukuda, and K. Arai, “Influences of postimplantation annealing
conditions on resistance lowering in high-phosphorus-implanted 4H–SiC,” Journal of
Applied Physics, vol. 94, no. 5, pp. 2942–2947, 2003.
[9] Y. Negoro, T. Kimoto, and H. Matsunami, “Carrier compensation near tail region in
aluminum- or boron-implanted 4H–SiC (0001),” Journal of Applied Physics, vol. 98,
no. 4, p. 043709, 2005.
[10] E. M. Handy et al., “Variable-dose (1017 – 1020 cm–3) phosphorus ion implantation
into 4H–SiC,” Journal of Applied Physics, vol. 88, no. 10, pp. 5630–5634, 2000.
[11] C. P. Morath, Electrical Characterization of Ion-Implanted 4H-Silicon Carbide,
Master’s thesis, Air Force Institute of Technology, Air University, Ohio, USA, March
1999.
[12] T. Troffer et al., “Doping of SiC by Implantation of Boron and Aluminum,” Physica
Status Solidi A, vol. 162, no. 1, pp. 277–298, 1997.
[13] M. V. Rao et al., “Ion-implantation in bulk semi-insulating 4H–SiC,” Journal of Applied
Physics, vol. 86, no. 2, pp. 752–758, 1999.
[14] N. S. Saks et al., “Low-dose aluminum and boron implants in 4H and 6H silicon
carbide,” Journal of Applied Physics, vol. 90, no. 6, pp. 2796–2805, 2001.

Advanced Calibration for Process Simulation User Guide 218


V-2024.03
Chapter 4: Advanced Calibration for 4H-SiC Process Simulation
References

[15] N. S. Saks, S.-H. Ryu, and A. V. Suvorov, “Low-dose n-type nitrogen implants in
4H-SiC,” Applied Physics Letters, vol. 81, no. 26, pp. 4958–4960, 2002.
[16] R. Nipoti et al., “Microwave Annealing of Very High Dose Aluminum-Implanted
4H-SiC,” Applied Physics Express, vol. 4, no. 11, p. 111301, 2011.
[17] F. Schmid et al., “Hall Scattering Factor for Electrons and Holes in SiC,” Silicon
Carbide, Advanced Texts in Physics, W. J. Choyke, H. Matsunami, and G. Pensl
(eds.), Berlin: Springer, 2004.
[18] A. Koizumi, J. Suda, and T. Kimoto, “Temperature and doping dependencies of
electrical properties in Al-doped 4H-SiC epitaxial layers,” Journal of Applied Physics,
vol. 106, no. 1, p. 013716, 2009.
[19] H. Matsuura, “Investigation of a distribution function suitable for acceptors in SiC,”
Journal of Applied Physics, vol. 95, no. 8, pp. 4213–4218, 2004.
[20] H. Matsuura, “Influence of excited states of a deep substitutional dopant on
majority-carrier concentration in semiconductors,” Physical Review B, vol. 74, no. 24,
p. 245216, 2006.
[21] H. Matsuura, “Determination Methods of Densities and Energy Levels of Impurities
and Defects Affecting Majority-Carrier Concentration in Next-Generation
Semiconductors,” Advances in Condensed Matter and Materials Research, vol. 10,
H. Geelvinck and S. Reynst (eds.), Hauppauge, New York: Nova Science Publishers,
pp. 301–356, 2011.
[22] A. Parisini et al., “Remarks on the room temperature impurity band conduction in
heavily Al+ implanted 4H-SiC,” Journal of Applied Physics, vol. 118, no. 3, p. 035101,
2015.
[23] F. Giannazzo, F. Roccaforte, and V. Raineri, “Acceptor, compensation, and mobility
profiles in multiple Al implanted 4H-SiC,” Applied Physics Letters, vol. 91, no. 20,
p. 202104, 2007.
[24] H. Bracht et al., “Diffusion of boron in silicon carbide: Evidence for the kick-out
mechanism,” Applied Physics Letters, vol. 77, no. 20, pp. 3188–3190, 2000.
[25] R. Stief, Dotierung von 4H-SiC durch Ionenimplantation, PhD thesis, University of
Erlangen-Nuremberg, Germany, 1999.
[26] J. Wong-Leung, M. S. Janson, and B. G. Svensson, “Effect of crystal orientation on
the implant profile of 60 keV Al into 4H-SiC crystals,” Journal of Applied Physics,
vol. 93, no. 11, pp. 8914–8917, 2003.
[27] K. Mochizuki et al., “Detailed Analysis and Precise Modeling of Multiple-Energy Al
Implantations Through SiO2 Layers Into 4H-SiC,” IEEE Transactions on Electron
Devices, vol. 55, no. 8, pp. 1997–2003, 2008.
[28] S. Morata, F. Torregrosa, and T. Bouchet, “Simulation of Ion Implantation in SiC:
Dopant Profiling and Activation,” Materials Science Forum, vol. 615–617, pp. 449–
452, March 2009.

Advanced Calibration for Process Simulation User Guide 219


V-2024.03
Chapter 4: Advanced Calibration for 4H-SiC Process Simulation
References

[29] K. Mochizuki et al., “Selection of ion species suited for channeled implantation to be
used in multi-epitaxial growth for SiC superjunction devices,” Japanese Journal of
Applied Physics, vol. 58, no. 5, p. 050905, 2019.
[30] E. V. Kalinina, “The Effect of Irradiation on the Properties of SiC and Devices Based
on this Compound,” Semiconductors, vol. 41, no. 7, pp. 745–783, 2007.
[31] J. Lefèvre et al., “Silicon threshold displacement energy determined by
photoluminescence in electron-irradiated cubic silicon carbide,” Journal of Applied
Physics, vol. 105, no. 2, p. 023520, 2009.
[32] P. B. Klein et al., “Defects Limiting Minority Carrier Lifetime In 4H-SiC Epilayers,” ECS
Transactions, vol. 3, no. 5, pp. 19–28, 2006.
[33] N. T. Son et al., “Negative-U System of Carbon Vacancy in 4H-SiC,” Physical Review
Letters, vol. 109, no. 18, p. 187603, 2012.
[34] T. Kimoto et al., “Carrier lifetime and breakdown phenomena in SiC power device
material,” Journal of Physics D: Applied Physics, vol. 51, no. 36, p. 363001, 2018.
[35] C. Zechner et al., “Model for elimination of lifetime-limiting carbon vacancy defects in
SiC by thermal treatment,” Journal of Applied Physics, vol. 132, no. 3, p. 035702,
2022.
[36] K. Kawahara, J. Suda, and T. Kimoto, “Analytical model for reduction of deep levels
in SiC by thermal oxidation,” Journal of Applied Physics, vol. 111, no. 5, p. 053710,
2012.
[37] H. M. Ayedh et al., “Thermodynamic equilibration of the carbon vacancy in 4H-SiC: A
lifetime limiting defect,” Journal of Applied Physics, vol. 122, no. 2, p. 025701, 2017.
[38] E. Saito, J. Suda, and T. Kimoto, “Control of carrier lifetime of thick n-type 4H-SiC
epilayers by high-temperature Ar annealing,” Applied Physics Express, vol. 9, no. 6,
p. 061303, 2016.
[39] H. Z. Massoud et al., “Thermal Oxidation of Silicon in Dry Oxygen: Growth-Rate
Enhancement in the Thin Regime: II. Physical Mechanisms,” Journal of the
Electrochemical Society, vol. 132, p. 2693, 1985.
[40] B. K. Daas et al., “Doping Dependence of Thermal Oxidation on n-Type 4H-SiC,”
IEEE Transactions on Electron Devices, vol. 58, no. 1, 2011.
[41] D. Goto et al., “Differences in SiC thermal oxidation process between crystalline
surface orientations observed by in-situ spectroscopic ellipsometry,” Journal of
Applied Physics, vol. 117, p. 095306, 2015.
[42] R. Hasanuma et al., “Characterization of Interface State Density of SiO2/SiC (000-1)
Based on Oxygen Concentration at the Interface during Thermal Oxidation,” ECS
Transactions, vol. 75, no. 12, pp. 201-206, 2016.
[43] T. Hosoi et al., “Exact evaluation of interface-reaction-limited growth in dry and wet
thermal oxidation of 4H-SiC(0001) Si-face surfaces”, Japanese Journal of Applied
Physics, vol. 54, p. 098002, 2015.

Advanced Calibration for Process Simulation User Guide 220


V-2024.03
Chapter 4: Advanced Calibration for 4H-SiC Process Simulation
References

[44] K. Kita et al., “Understanding of Growth Kinetics of Thermal Oxide on 4H-SiC for
Control of MOS Characteristics”, ECS Transactions, vol. 61, no. 2, pp. 135–142,
2014.
[45] T. Kobayashi et al., “Conduction-Type Dependence of Thermal Oxidation Rate on
SiC(0001),” in IEEE International Meeting for Future of Electron Devices, Kansai
(IMFEDK), Kyoto, Japan, 2014, pp. 1–2.
[46] K. Kouda et al., “Oxygen partial pressure dependence of the SiC oxidation process
studied by in-situ spectroscopic ellipsometry,” Journal of Applied Physics, vol. 112, p.
024502, 2012.
[47] S. Kumar and J. Akhtar, “Thermal Oxidation of Silicon Carbide (SiC) – Experimentally
Observed Facts,” Silicon Carbide – Materials, Processing and Applications in
Electronic Devices. InTech, Oct. 10, 2011.
[48] Ray et al., “Pressure dependence of SiO2 growth kinetics and electrical properties on
SiC,” Journal of Applied Physics, vol. 103, p. 023522, 2008.
[49] Y. Song et al., “Modified Deal–Grove model for the thermal oxidation of silicon
carbide,” Journal of Applied Physics, vol. 95, no. 9, 2004.
[50] T. Yamamoto et al., “Oxide Growth Rate Enhancement of Silicon Carbide (0001)
Si-Faces in Thin Oxide Regime,” Japanese Journal of Applied Physics, vol. 47, no.
10, pp. 7803–7806, 2008.
[51] T. Yamamoto et al., “Oxygen-Partial-Pressure Dependence of SiC Oxidation Rate
Studied by In-situ Spectroscopic Ellipsometry,” Materials Science Forum, vols. 600–
603, pp. 667–670, 2009.
[52] M. Okamoto et al., “Improvement of Channel Mobility in 4H-SiC C-face MOSFETs by
H2 Rich Wet Re-Oxidation,” Materials Science Forum Online, ISSN: 1662-9752, vols.
778–780, pp. 975–978, 2014-02-26.
[53] K. Kita et al., “Difference of Near-Interface SiO2 Structures between O2-Oxidation and
H2O-Oxidation of 4H-SiC (0001) and Its Impact on MOS Interface Characteristics,”
ECS Transactions, vol. 80, p. 123, 2017.

Advanced Calibration for Process Simulation User Guide 221


V-2024.03
Part II: Advanced Calibration in Sentaurus
Process Kinetic Monte Carlo

This part of the Advanced Calibration for Process Simulation User Guide contains the
following chapters:
• Chapter 5, Using Advanced Calibration File of Sentaurus Process KMC
• Chapter 6, Contents of Advanced Calibration of Sentaurus Process KMC
• Chapter 7, Guidelines for Additional Calibration

Advanced Calibration for Process Simulation User Guide 222


V-2024.03
5
Using Advanced Calibration File of Sentaurus
5

Process KMC

This chapter gives an introduction to the use of Advanced Calibration in a process


simulation with Sentaurus Process Kinetic Monte Carlo (Sentaurus Process KMC).

Advanced Calibration is a selection of models and parameters, which is recommended to be


used for accurate process simulation. In Sentaurus Process KMC, this selection of models
and parameters is contained in a text file, which can be opened with any standard text editor.
By sourcing the Advanced Calibration file at the beginning of a process simulation, the
standard calibration of Synopsys is selected. If needed, you can change or extend the
Advanced Calibration. This can be performed by either sourcing an additional calibration file
that contains the required parameter changes or editing the Advanced Calibration file with a
text editor.

Location of Advanced Calibration File


The Advanced Calibration file is the ultimate product of Synopsys’ calibration efforts. For
each release of Sentaurus Process, there is a new Advanced Calibration file that includes
the best and latest set of models and parameters. To ensure backward compatibility,
previous Advanced Calibration files are still available.
The files for the Advanced Calibration of Sentaurus Process KMC are located at:
$STROOT/tcad/$STRELEASE/lib/sprocess/TclLib/AdvCal

The STROOT environment variable indicates where the Synopsys TCAD distribution has
been installed.
The default file is named AdvCal_KMC_2024.[Link] and represents the first version of
Advanced Calibration V-2023.12. Older versions of the Advanced Calibration file can be
found in the same directory. For example, the file AdvCal_KMC_2022.[Link] contains the
Advanced Calibration file for Version U-2022.12 and is available for backward compatibility.

Advanced Calibration for Process Simulation User Guide 223


V-2024.03
Chapter 5: Using Advanced Calibration File of Sentaurus Process KMC
Using Advanced Calibration

Using Advanced Calibration


To use the Advanced Calibration of Sentaurus Process KMC, you must select the atomistic
mode by using the command SetAtomistic followed by the command
AdvancedCalibration, which is the same one as for the continuum Advanced Calibration.
The command AdvancedCalibration checks whether the atomistic mode is set and loads
the corresponding Advanced Calibration file. Therefore, at the beginning of the input file,
insert the lines:
SetAtomistic
AdvancedCalibration 2024.03

To load Advanced Calibration of Sentaurus Process KMC in continuum mode, you must use
the KMC flag:
AdvancedCalibration KMC

Alternatively, a local copy of this file can be sourced by using:


source ./AdvCal_KMC_2024.[Link]

In addition, it is recommended to load Advanced Calibration for continuum Sentaurus


Process before the one of Sentaurus Process KMC to select the calibration for continuum
models that are also used in atomistic mode, such as those for mechanics and oxidation.
Therefore, you should also select the command AdvancedCalibration for continuum
Advanced Calibration before switching to the atomistic mode by using the SetAtomistic
command.
Note:
The interoperability between Advanced Calibration for continuum Sentaurus
Process and Advanced Calibration for Sentaurus Process KMC, meaning the
mapping of solutions in continuum mode to particles in atomistic mode, is
controlled by the commands PDE2KMC and KMC2PDE (see Sentaurus™ Process
User Guide, Translating Atomistic and Nonatomistic Information).

For ultrashallow junction experiments, the recommended minimum simulation size is


500 nm × 40 nm × 40 nm. The depth (x-coordinate) of at least 500 nm is needed for accurate
simulation of the point-defect evolution, and a surface (y-coordinate and z-coordinate) of
40 nm × 40 nm is needed for the accurate simulation of the damage accumulation during full
cascade Monte Carlo implantation simulation.

Advanced Calibration for Process Simulation User Guide 224


V-2024.03
Chapter 5: Using Advanced Calibration File of Sentaurus Process KMC
Additional Calibration by Users

Additional Calibration by Users


Advanced Calibration is based on the assumption that all parameters that are not changed
in the parameter files are the default parameters of Sentaurus Process KMC. To use the
Advanced Calibration file AdvCal_KMC_2024.[Link], it must be sourced before the real
process description.
After sourcing AdvCal_KMC_2024.[Link], you can change the model switches or
parameter values of the physical models. Ideally, this should be performed by experienced
users with a good understanding of the models of Sentaurus Process KMC.
For the process simulation of silicon technology, Advanced Calibration is usually the best
starting point. You can further increase the accuracy for a certain technology by additional
fine-tuning of a few physical parameters.
The best way to perform this is to put all additional calibration in a user calibration file, for
example, my_calibration.fps. This file includes all project-specific changes of physical
parameters with respect to Advanced Calibration.
In the process simulation file, at the beginning of the process simulation, you insert the lines:
SetAtomistic
AdvancedCalibration 2024.03
source ./my_calibration.fps

This approach allows you to:


• Separate the calibration and the process descriptions completely.
• Use the Advanced Calibration file as a starting point.
• Summarize all project-specific calibration in a short and clear text file.

Advanced Calibration for Process Simulation User Guide 225


V-2024.03
6
Contents of Advanced Calibration of Sentaurus
6

Process KMC

This chapter explains the contents of the Advanced Calibration file of Sentaurus Process
Kinetic Monte Carlo (Sentaurus Process KMC) and documents the origin of the parameter
values.

Overview of Advanced Calibration File


The focus of Advanced Calibration is monocrystalline silicon, germanium, and SiGe for all
Ge mole fractions. The calibration for silicon and SiGe with low Ge mole fraction (≤ 0.5) is
the most mature and reliable. On the other hand, the calibration for pure germanium is less
mature and reliable, and the calibration for SiGe with high Ge mole fraction (> 0.5) is the
least mature and reliable. Most of the model equations and model parameters are taken
from reliable publications. In addition, a rigorous calibration has been performed, based on
a SIMS database.
The Advanced Calibration of Sentaurus Process in continuum mode (see Chapter 2 on
page 26), which has proven good accuracy for a wide range of ultrashallow junction (USJ)
experiments, serves as the starting point for the Advanced Calibration of Sentaurus Process
KMC. Wherever possible, the parameters are inherited from the continuum approach to the
kinetic Monte Carlo (KMC) approach.
However, the calibration of the continuum models is verified and optimized for a high
temperature range (600–1100°C) only, whereas the kinetic Monte Carlo parameters should
be valid below room temperature as well. Moreover, some parameters and models cannot
be translated directly from the atomistic world to the continuum world. Taking into account
these two restrictions, the strategy is to translate the continuum Advanced Calibration
parameters if feasible, and to prefer or calibrate the default kinetic Monte Carlo parameters
where it is favorable.

Advanced Calibration for Process Simulation User Guide 226


V-2024.03
Chapter 6: Contents of Advanced Calibration of Sentaurus Process KMC
Overview of Advanced Calibration File

The Advanced Calibration file AdvCal_KMC_2024.[Link] is divided into the following


sections, which contain numbered subsections and are executed in sequence:
• Section 1: Silicon material
• Section 2: Germanium material
• Section 3: SiGe material
• Section 4: Epitaxy
The first three sections cover the KMC particle parameters and the lattice KMC (LKMC)
recrystallization parameters. These three sections are divided into two parts:
• Part 1: Model parameters for damage and point defects
• Part 2: Model parameters for impurities
The fourth section covers the LKMC epitaxy model parameters for silicon, germanium, and
SiGe.
This chapter is organized slightly differently for simplicity:
• Part 1: Model Parameters for Implantation Damage and Point Defects for the materials
silicon, germanium, and SiGe (of Sections 1–3)
• Part 2: Model Parameters for Impurities for the materials silicon, germanium, and SiGe
(of Sections 1–3)
• Section 4: Model Parameters for Epitaxial Growth

Supported Materials
Advanced Calibration for Sentaurus Process KMC supports monocrystalline silicon,
germanium, and SiGe.
Pure silicon is modeled by the Sentaurus Process material Silicon with a native oxide
modeled by the Sentaurus Process material Oxide, while pure germanium is modeled by
the Sentaurus Process material Germanium with the native oxide GeOxide.
SiGe is modeled by the Sentaurus Process material Silicon with a field Germanium, which
Sentaurus Process KMC treats as a nonatomistic impurity in silicon.
Note:
It is not recommended to use the Sentaurus Process material
SiliconGermanium, mainly to prevent any artificial boundaries between the
Silicon and SiliconGermanium materials.

Advanced Calibration for Process Simulation User Guide 227


V-2024.03
Chapter 6: Contents of Advanced Calibration of Sentaurus Process KMC
Part 1: Model Parameters for Implantation Damage and Point Defects

The Ge mole fraction dependency of SiGe can be modeled in two ways:


• By default, Ge effects are modeled by linear correction factors. Furthermore, the
calibration of Ge effects [1][2] is based on a relatively small set of measured data. It
focuses on Ge concentration ranging between 0% and 50%, and it is not expected to be
predictive for higher concentrations of Ge (> 50%).
• Optionally, you can activate Si and Ge parameter interpolation for SiGe by using the
command:
KMC_SiGe_and_Stress_Effect 1 0

The procedure KMC_SiGe_and_Stress_Effect has two Boolean arguments: the first is


Ge_Chem_Eff and the second is Stress_Eff. Setting Ge_Chem_Eff to 1 deactivates the
default linear correction factors and uses the interpolation parameters defined for
SiliconGermanium by the following parameter switches:
pdbSet Si [Link] 0
pdbSet Ge [Link] 0

The calibration covers the full Ge mole fraction range (0–100%). However, due to the
limited availability of experimental data for the high mole fraction range (> 50% and
< 100%), the calibration is expected to be less mature in this range.
In addition, parameter interpolation for mechanical parameters in compound materials and
the lattice mismatch model are activated by the following parameter switches:
pdbSet Mechanics [Link] 1
pdbSet Mechanics [Link] 1

Part 1: Model Parameters for Implantation Damage and Point


Defects
This part describes the model parameters for implantation damage and point defects for the
materials silicon, germanium, and SiGe.

Amorphization and Recrystallization


The amorphization threshold, displacement thresholds, and interstitial–vacancy
recombination in amorphous pockets have been calibrated by the comparison of literature
data with simulated (temperature-dependent and dose rate–dependent)
amorphous-crystalline transitions and amorphization-layer thicknesses generated by
silicon, germanium, and carbon implantation into silicon [3] and into germanium [4][5][6].
The amorphization threshold is set to 1.0×1022 cm–3 for both silicon and germanium, which
is slightly lower than the value used for continuum Advanced Calibration and is in the range
of reported values for the critical point-defect concentration [7].

Advanced Calibration for Process Simulation User Guide 228


V-2024.03
Chapter 6: Contents of Advanced Calibration of Sentaurus Process KMC
Part 1: Model Parameters for Implantation Damage and Point Defects

The binary collision displacement thresholds for most implanted species is 15 eV for both
silicon and germanium substrate materials, which is consistent with reported values [8].
Higher displacement thresholds are used for light ions such as B, C, and F in combination
with the MCImplant [Link] Coupled model, which allows
species-specific displacement thresholds so as not to overestimate the simulated damage
compared to experimental data. This is a consequence of the limitations of the standard
binary collision approximation (BCA) model, which assumes that the deposited energy that
is lower than the displacement threshold is dissipated. Unfortunately, the improved BCA
model (iBCA) is not a valid alternative due to its inefficiency in general applications and
calibration.
The recrystallization velocity in Advanced Calibration for an undoped amorphous layer on
top of (100)-oriented crystalline substrate is in agreement with the literature for silicon [9]
and germanium [6], both for the standard isotropic and the anisotropic lattice kinetic Monte
Carlo (LKMC) mode. The activation energy for solid phase epitaxial regrowth (SPER) is
2.68 eV in the case of silicon [9] and 2.17 eV in the case of germanium [10]. In addition for
the LKMC mode, the recrystallization velocity depends on the substrate orientation with
approximate ratios of [Link] for the orientations (100), (110), and (111), respectively, for
both silicon [11] and germanium [6].
The selected LKMC model for (100) SPER is the Planar one differentiating high and low
coordination [12]. To activate the optional LKMC model, you must call the following
command:
pdbSet KMC Si Damage [Link] LKMC

In the presence of n-type and p-type doping, the recrystallization velocity is enhanced, again
following the literature [9][13][14]. The effect of specific impurities on the recrystallization is
described in Recrystallization on page 258.
During recrystallization, impurities and dopants can be redistributed by the recrystallization
front. The selected redistribution model during recrystallization is the Hops model. The
amount of redistribution is impurity dependent or doping dependent, and is described in
Recrystallization on page 258.

Amorphous Silicon and Germanium


The defects in amorphous silicon, which are the dangling bonds of threefold-coordinated Si
atoms and the floating bonds of fivefold-coordinated atoms, are simulated explicitly by
activating the [Link] model for silicon damage, which follows the literature [15]
[16].
The dangling bond and floating bond density after amorphization is set to 1.8%, and their
diffusivities are assumed to be the same with a migration barrier of 2.6 eV, both as proposed
by [15]. Moreover, the dangling bonds can be annihilated with floating bonds and bind to

Advanced Calibration for Process Simulation User Guide 229


V-2024.03
Chapter 6: Contents of Advanced Calibration of Sentaurus Process KMC
Part 1: Model Parameters for Implantation Damage and Point Defects

impurity atoms. For details about the interaction of dangling bonds with B atoms, see Boron
on page 243.
For simplicity, the same parameters are assumed for amorphous germanium. However, the
verification of this assumption has not been possible due to a lack of corresponding
experimental data for amorphous germanium.

Diffusion, Generation, and Recombination


For silicon, the transport capacity for free interstitials:
Di*Cistar = 1.59e25 exp(-4.702eV/kT) cm-1 s-1

is inherited from the Advanced Calibration of continuum Sentaurus Process (see Bulk
Parameters for Free Interstitials on page 36).
The macroscopic diffusivity of continuum Sentaurus Process corresponds to the
microscopical diffusivities of each charge state of Sentaurus Process KMC in the following
way:
Di = (D(I0)C(I0)+D(I+)C(I+)+D(I-)C(I-))/(C(I0)+C(I+)+C(I-))

For simplicity, the same diffusivities for charge states –1, 0, +1 and lower ones for charge
states –2, +2 are assumed. Taking the diffusivity from the continuum Advanced Calibration
(gained from the high-temperature experiments of Bracht et al. [17]), you have:
Di = 51 * exp(-1.77eV/kT) cm2 s-1

which is reasonable for high temperatures, but too small at room temperature. Therefore, a
lower migration energy must be chosen [18], namely, 0.7 eV in the case of Advanced
Calibration. The migration prefactor has been adjusted to obtain the same diffusivity as for
the Advanced Calibration of continuum Sentaurus Process at 950°C. The resulting
diffusivity is:
Di = 0.002 * exp(-0.7eV/kT) cm2 s-1

which is the better choice for the full temperature range.


Derived from the transport capacity and the diffusivity, the equilibrium concentration is:
Cistar = 1.59e25 * exp(-4.702eV/kT) / 0.002 / exp(-0.7eV/kT) cm-3
= 7.95e27 * exp(-4.002eV/kT) cm-3

The interstitial formation energy of 4.0 eV is in agreement with the literature [19].
In Sentaurus Process KMC, the interfaces set the equilibrium concentrations for point
defects. According to the literature [20], the following relation is valid:
Cistar = 2/alpha/alpha*6/lambda * D0FS * exp(-EForm/kT)

Advanced Calibration for Process Simulation User Guide 230


V-2024.03
Chapter 6: Contents of Advanced Calibration of Sentaurus Process KMC
Part 1: Model Parameters for Implantation Damage and Point Defects

where the silicon lattice constant is alpha=5.43e-8cm, the jump distance is lambda=
3.84e-8cm, and the Sentaurus Process KMC point-defect interface model prefactor is D0FS
and the formation energy is EForm. Therefore, the parameters are:
Cistar = 2/alpha/alpha*6/lambda * 75000 * exp(-4.00eV/kT) cm-3

For germanium, the transport capacity for free interstitials:


Di*Cistar = 1.59e25 exp(-4.38eV/kT) cm-1 s-1

is inherited from the Advanced Calibration of continuum Sentaurus Process (see Bulk
Parameters for Free Interstitials on page 36) as well.
For germanium, there is a lack of experimental data on self-interstitial properties. Therefore,
only the interstitial diffusivity is estimated, based on the calculated migration energy (1.2 eV)
of uncharged interstitials calculated by Vanhellemont et al. [21] and based on the
assumption that the prefactor is the same as for silicon, for simplicity. The equilibrium
concentration is estimated, based on the formation energy (3.18 eV) calculated by
Vanhellemont et al. [22] and again based on the assumption that the prefactor is the same
as for silicon, for simplicity.
For vacancies in silicon, the transport capacity is also inherited from the Advanced
Calibration of continuum Sentaurus Process (see Bulk Parameters for Free Vacancies on
page 37):
DvCvstar = 4.60e22 * exp(-4.14eV/kT) cm-1 s-1

and the default diffusivity:


Dv = 5e-8 * exp(-0.4eV/kT) cm2 s-1

for neutral vacancies has been chosen with the migration barrier of 0.4 eV [18].
Therefore, the equilibrium concentration is:
Cvstar = 9.20e29 * exp(-3.74eV/kT) cm-3
= 2/alpha/alpha*6/lambda * 8.68e6 * exp(-3.74eV/kT)cm-3

Again, the vacancy formation energy of 3.74 eV is in agreement with the literature [19].
For vacancies in germanium, the transport capacity is inherited from the Advanced
Calibration of continuum Sentaurus Process (see Bulk Parameters for Free Vacancies on
page 37) as well:
DvCvstar = 1.18e24 * exp(-3.09eV/kT) cm-1 s-1

The formation energy of the dominating double negative-charged vacancy of 2.87 eV is


based on [23]. Assuming the same prefactor as for silicon for simplicity, the equilibrium
concentration is:
Cvstar = 9.20e29 * exp(-2.87eV/kT) cm-3

Advanced Calibration for Process Simulation User Guide 231


V-2024.03
Chapter 6: Contents of Advanced Calibration of Sentaurus Process KMC
Part 1: Model Parameters for Implantation Damage and Point Defects

The formation energy for the neutral vacancy is derived from the following relation [24]
depending on the local Fermi level eF and the ionization energies e0:
Ef(V0) = Ef(V--) + 2*eF - e0(V-) - e0(V--) = 2.87 + 0.74 - 0.3 - 0.1
= 3.21

The vacancy diffusivity of neutral charge and (single and double) negative charge is derived
from the vacancy equilibrium concentration and the transport capacity that is based on the
experimental vacancy-mediated self-diffusion coefficient of germanium
(13.6 × exp(–3.09 eV/kT)) cm–2 s–1 following [25]. The resulting migration barrier (0.22 eV)
is also consistent with calculations by [23].
For the Si–SiO2 and Ge–GeO2 interfaces, the Allcharges model is selected to allow for the
emission and capture of all the charge states of point defects. The recombination length of
point defects at Si–SiO2 and Ge–GeO2 interfaces is assumed to be 1 nm for continuum and
kinetic Monte Carlo. The one at Si–gas and Ge–gas interfaces is set to 1 nm as well.
Parameters for Si–nitride and Ge–nitride interfaces are inherited from Si–SiO2 and Ge–
GeO2 interfaces.
The bulk recombination of interstitials and vacancies in the Advanced Calibration of
continuum Sentaurus Process is diffusion limited. In Sentaurus Process KMC, interstitials
and vacancies form amorphous pockets when they are close (within capture radius) during
their migration. The interstitial and vacancy recombine, and the amorphous pocket is
dissolved if no more interstitials or vacancies are captured by the amorphous pocket
beforehand. However, in contrast to the continuum Advanced Calibration, the I–V
recombination is not instantaneous due to the energy barrier [26]. Therefore, the formation
of I–V pairs is diffusion limited and the recombination of I–V pairs in silicon in the equation
recombination rate is:
v = 5.0e-4 * exp(-0.43eV/kT) cm2/s

The corresponding one for germanium is:


v = 5.0e-3 * exp(-0.60eV/kT) cm2/s

The generation of I–V pairs has not been implemented in Sentaurus Process KMC due to its
small contribution, whereas in continuum Advanced Calibration, the generation of I–V pairs
is simulated.
Oxidation causes the injection of interstitials at the exposed surface. The calibration of
Sentaurus Process KMC follows that for the continuum of interstitial injection for dry
oxidation of silicon (see Oxidation-Enhanced Diffusion on page 38). No interstitial injection
for oxidation of germanium is assumed.

Advanced Calibration for Process Simulation User Guide 232


V-2024.03
Chapter 6: Contents of Advanced Calibration of Sentaurus Process KMC
Part 1: Model Parameters for Implantation Damage and Point Defects

Charge States
The charge levels for self-interstitials and vacancies have been studied [18]. Accordingly,
the concentration for positively charged interstitials is:
C(I+) = C(I0) * exp(-(Ef - e(I+))/kT)

where the Fermi level is Ef and the energy level is e(I+), which is the energy needed to
take an electron from the neutral interstitial measured from the valence band (Sentaurus
Process KMC parameter e0(IP)).
In continuum Sentaurus Process, the concentration for positively charged interstitials is:
C(I+) = C(I0) * k0(I+) exp(-(Ef - Ei + kE(I+))/kT)

where the Fermi level is Ef, the intrinsic level is Ei, and the charge-state parameter is
k(I+)=k0(I+)*exp(-kE(I+)/kT).

Therefore, the following relation is valid:


exp(e(I+))/kT) = k0(I+) exp((Ei - kE(I+))/kT) (Eq x)

The intrinsic level depends on the band gap Eg, and the effective state density of the
conduction (Nc) and valence (Nv) bands [27]:
Ei(T) = Eg(T)/2 + kT/2 * ln(Nv/Nc)

In summary, the electronic levels in continuum Sentaurus Process refer to the intrinsic level,
while the electronic levels in atomistic Sentaurus Process are measured from the valence
band edge. This means that due to the temperature dependence of the energy difference of
the intrinsic and valence band levels, an exact translation of the charge-state parameters
from continuum Sentaurus Process to Sentaurus Process KMC is not possible.
Therefore, the electronic levels for interstitials and vacancies in silicon are taken as
recommended in the literature [18]. In the temperature range from 700°C to 1100°C, the
electronic level for I+ of 0.4 eV corresponds to k0(I+)=12 and kE(I+)=0.23eV, and the one
for I– of 1.0 eV corresponds to k0(I-)=0.12 and kE(I-)=0.4eV.
The electronic levels for interstitials and vacancies in germanium are calibrated with
guidance from the literature [22][23].

Extended Defects
In Sentaurus Process KMC, the evolution of extended defects of self-interstitials from small
clusters to {311} defects and faulted dislocation loops is taken into account [28]. Small
clusters have irregular shapes and are amorphous pockets in the terminology of kinetic
Monte Carlo. Amorphous pockets capture any point defect (I and V) within their capture
radius.

Advanced Calibration for Process Simulation User Guide 233


V-2024.03
Chapter 6: Contents of Advanced Calibration of Sentaurus Process KMC
Part 1: Model Parameters for Implantation Damage and Point Defects

For silicon, the binding energies are taken from the parameter array [Link] and are
based on the suggestions of [29] assuming a self-interstitial formation energy of 3.8 eV (see
Table 7). The interstitial emission prefactor [Link] is 135.
Table 7 Binding energies in keV for small interstitial clusters in silicon

N= 2 3 4 5 6 7 8 9 10 11 12 13 14

Cowern [29] 2.64 2.77 2.67 2.48 2.46 3.24 2.40 2.69 2.73 2.92 3.00 3.06

Advanced 2.40 2.50 2.77 2.67 2.48 2.46 3.24 2.40 2.69 2.73 2.92 3.00 3.06
Calibration

Above a threshold, the extended defects in silicon form rod-like {311} defects. The minimal
size of a {311} [Link] has been chosen to be 15. {311} defects capture any
neutral interstitial with which they are in contact. The binding energy of this size is 2.94 keV,
the one of size 60 is 2.96 keV, and the ones for the intermediate sizes are linearly
interpolated. Above the size of 60, the binding energies of size n are computed using the
following equation:
Eb(n) = Eb_L - (Eb_L - Eb_S) × (na - (n-a)a)/(2a-1)

where Eb_L ([Link]) is equal to 3.17 keV, Eb_S ([Link]) is equal


to 2.64 keV, and a ([Link]) is equal to 3/4. The interstitial emission prefactor is
the same as for amorphous pockets.
When {311} defects in silicon grow large enough, they transform into dislocation loops. The
threshold size for this transformation [Link] is equal to 4500. In this
calibration, dislocation loops cannot be formed directly from amorphous pockets, as the
prefactor [Link].111Loop is set to 0.
Dislocation loops capture any incoming neutral interstitial. The binding energies are:
Eb(n) = Ef(I) + Ef(DL(n-1)) - Ef(DL(n))

with the dislocation loop formation energies:


Ef(DL(n)) = R^2 + a^2/6(1-)R × log(8R/b) -nEf(I)

taken from the literature [30], which are Sentaurus Process KMC defaults. The interstitial
emission prefactor [Link] is 1e6 in silicon.
In germanium, small clusters and extended defects consisting of interstitials also have been
observed [31][32][33][34][35]. As for silicon, Sentaurus Process KMC takes the binding
energies from the parameter array [Link] and calculates the binding energies for
defects above the size of 60. The binding energies and the interstitial emission prefactor
[Link] of 5 have been calibrated against literature data [33].

Advanced Calibration for Process Simulation User Guide 234


V-2024.03
Chapter 6: Contents of Advanced Calibration of Sentaurus Process KMC
Part 1: Model Parameters for Implantation Damage and Point Defects

According to the literature [34][35], the observed extended defects in germanium are not
{311} defects, but small dislocation loops. To account for this, the transformation of
amorphous pockets to {311} defects is suppressed by setting [Link].311 to 0, and the
transformation to dislocation loops is allowed with [Link].111Loop equal to 1e12 and
[Link].111Loop equal to 1.3 eV in germanium.

The minimal size of a dislocation loop [Link] has been chosen to be


33. Finally, the dislocation loop formation energies and the interstitial emission prefactor
[Link] of 1e6 also have been calibrated against literature data [33].

The binding energies for small vacancy clusters up to size 10 in silicon are taken from the
ATOMICS research project [36]. The vacancy emission prefactor [Link] is 5. Voids
are assumed to be vacancy clusters of size 20 and higher.
For germanium, the binding energies for small vacancy clusters up to size 4 are based on
the literature [37]. While the binding energies of larger clusters are inherited from the silicon
calibration. The vacancy emission prefactor [Link] is 5e-2. Again, voids are assumed
to be vacancy clusters of size 20 and higher.

SiGe
This section discusses silicon germanium.

Linear Germanium Correction Factors


By default, the Ge effects are modeled by linear correction factors for silicon parameters.
The calibration is valid for low Ge mole fractions ranging between 0% and 50%.

Amorphization and Recrystallization


It is assumed that there is a reduced interstitial–vacancy recombination rate during ion
implantation and annealing in SiGe compared to pure silicon, according to [38]. This is
performed by introducing a Ge effect for interstitial–vacancy recombination in amorphous
pockets:
pdbSet KMC Si Damage Eb_AmorphousPocketGe [expr 1.50/5.e22]

Band Gap
Germanium reduces the band gap of silicon. The formula for Ge-induced bandgap
narrowing for Ge mole fractions below 85%, which is identical to the one used for continuum
Sentaurus Process (see Effect of Germanium and Stress on page 61), is:
dE(BandGap) = [Ge]/5.e22*(0.33*([Ge]/5.e22)-0.55) eV/cm3

Advanced Calibration for Process Simulation User Guide 235


V-2024.03
Chapter 6: Contents of Advanced Calibration of Sentaurus Process KMC
Part 1: Model Parameters for Implantation Damage and Point Defects

and is implemented by defining:


pdbSet KMC Si BandGap GeNarrowing [expr -0.55/5.e22]
pdbSet KMC Si BandGap GeNarrowing2 [expr 0.33/5.e22/5.e22]

Diffusion, Generation, and Recombination


In the literature, there is no agreement on the impact of Ge (for low Ge mole fractions) on
point-defect diffusion and generation except for the vacancy equilibrium concentration.
Computational studies of vacancies in SiGe have found an ~1.0 eV vacancy formation
energy decrease in Ge [39][40][41], in line with the Advanced Calibration parameters for
silicon and germanium. For computational efficiency, to prevent the abundance of vacancies
in SiGe, a value lower than the published ones is used in the Advanced Calibration of
Sentaurus Process KMC, also lower than the one for continuum Advanced Calibration (see
Impact of Stress on Point-Defect Parameters on page 71):
pdbSet KMC Si Vac EfGe V [expr -0.50/5.e22]

No Ge effect on the interstitial equilibrium concentration is assumed. Based on molecular


dynamics calculations [42], the diffusivities of interstitials and vacancies are almost
unchanged for low Ge mole fractions, but they change rapidly for higher ones. Therefore, no
linear Ge effect is used for the interstitial and vacancy diffusivity.

Extended Defects
The {311} interstitial clusters are less stable in the presence of Ge, and the transformation
of {311} defects into dislocation loops is faster [36][43][44]. The corresponding parameter
adjustments have been calibrated based on experimental data generated within the
ATOMICS research project [2].
The binding energies of small interstitial clusters, {311} defects, and dislocation loops are
lowered in the presence of Ge:
pdbSet KMC Si Int Eb_ClusterGe [expr -0.20/5.e22]
pdbSet KMC Si Int Eb_311Ge [expr -0.20/5.e22]
pdbSet KMC Si Int Eb_LoopGe [expr -0.50/5.e22]

The transformation from {311} defects to dislocation loops occurs earlier in the presence of
Ge:
pdbSet KMC Si Int E_311toLoopGe [expr -1.00/5.e22]

The binding energies of small vacancy clusters and voids are higher in the presence of Ge:
pdbSet KMC Si Vac Eb_ClusterGe [expr 0.20/5.e22]
pdbSet KMC Si Vac Eb_VoidGe [expr 0.20/5.e22]

Advanced Calibration for Process Simulation User Guide 236


V-2024.03
Chapter 6: Contents of Advanced Calibration of Sentaurus Process KMC
Part 1: Model Parameters for Implantation Damage and Point Defects

Silicon and Germanium Parameter Interpolation


Optionally, you can activate Si and Ge parameter interpolation for SiGe using the command:
KMC_SiGe_and_Stress_Effect 1 0

This deactivates the default linear correction factors and uses the interpolation parameters
defined for SiliconGermanium. The calibration covers the full Ge mole fraction range (0–
100%).
In general, prefactor parameters are interpolated in logarithmic scale, and energy
parameters are interpolated in linear scale.

Amorphization and Recrystallization


According to [38], the interstitial–vacancy recombination rate during ion implantation and
annealing in SiGe is reduced, compared to the rate in pure silicon. This is in line with
Advanced Calibration, where the interstitial–vacancy recombination rate at room
temperature for small amorphous pockets is ~1000 times smaller in germanium compared
to silicon. The SiGe interpolation for the interstitial–vacancy recombination rate prefactor is
parabolic and is calibrated based on a limited experimental dataset for Ge implantation into
Ge only [45][46]. Parabolic SiGe parameter interpolation is used for the displacement
threshold in B implantation, which has been calibrated against experimental data from
AMAT-VSE (Applied Materials - Varian Semiconductor Equipment).
The (100) recrystallization of germanium is much faster than the one of silicon. For SiGe, the
recrystallization activation energy is interpolated parabolically with slightly higher or constant
values for Ge mole fractions up to 40% and lower values for higher Ge mole fractions,
following [47]. The KMC and all LKMC recrystallization prefactors for the different
orientations are interpolated parabolically (in logarithmic scale) to match the regrowth rates
of SiGe of different Ge mole fractions [46][48]. As a result, the recrystallization velocity is
faster for SiGe of all Ge mole fractions compared to silicon.

Band Gap
Germanium reduces the band gap of silicon. The energy bandgap change along with the Ge
mole fraction shows a very nonlinear curve due to the transition between the X-valley
(x < 0.8) and the L-valley (x > 0.8) [49]. With SiGe parameter interpolation activated, the
Ge-induced bandgap narrowing model is used, which is identical to the one used for
continuum Sentaurus Process (see Impact of Germanium on Electrostatic Potential on
page 65) and is implemented by defining:
pdbSet Si KMC BandGap [Link]
pdbSet Si KMC BandGap Eg0 1.170
pdbSet Ge KMC BandGap Eg0 0.719

Advanced Calibration for Process Simulation User Guide 237


V-2024.03
Chapter 6: Contents of Advanced Calibration of Sentaurus Process KMC
Part 1: Model Parameters for Implantation Damage and Point Defects

Diffusion, Generation, and Recombination


For vacancies, both equilibrium concentration and diffusivity are higher in germanium than
in silicon. However, for interstitials, only the equilibrium concentration is higher, but the
diffusivity is lower in germanium than in silicon.
Parabolic SiGe parameter interpolation in linear scale is used for both the formation
energies and the migration barriers of point defects, while prefactors are interpolated linearly
in logarithmic scale.
In addition, electronic levels at T=0 K are interpolated linearly between silicon and
germanium.
The interpolation between silicon and germanium was calibrated based on SiGe
interdiffusion experiments (see SiGe Interdiffusion). Following Castrillo et al. [50], vacancies
with a double negative charge are the dominant contributor to the point-defect transport
capacity starting at medium mole fractions (~0.3) up to mole fraction 1.
Note:
SiGe oxidation is not accurately modeled by KMC. Since Sentaurus Process
KMC does not allow for point-defect injection correction (needed for vacancies)
and for parameter interpolation (needed for OED), SiGe interdiffusion during
oxidation is incorrect and, therefore, the Ge mole-fraction oxidation rate is
incorrect as well (see the continuum model in SiGe Oxidation on page 69).

Extended Defects
The {311} interstitial clusters are less stable with higher Ge mole fractions, and the
transformation of amorphous pockets and {311} defects into dislocation loops is faster
[36][43][44]. Parabolic SiGe parameter interpolation is used for small and {311} defect
binding energies, the minimum {311} cluster size, and the interstitial emission prefactor from
dislocation loops. Other point-defect cluster parameters are interpolated linearly.

SiGe Interdiffusion
In undoped SiGe, the interdiffusion of Si and Ge atoms is a consequence of point-defect
diffusion [50] (see SiGe Interdiffusion on page 66). Contributions from a direct exchange
mechanism are neglected. SiGe interdiffusion is described as the sum of a contribution from
vacancy diffusion and a contribution from interstitial diffusion. The KMC interdiffusion model
accounts for different Si and Ge self-diffusivities by defining the relative probability of an I (or
a V) moving a Ge or Si atom [50][51][52]. Therefore, changes to the interstitial and vacancy
transport capacity due to excess point defects after implantation, or due to Fermi-level
effects in the case of doping, directly lead to altered SiGe interdiffusion. In addition, when
taking into account stress effects in KMC (see Stress Effects on page 239), interdiffusion is
naturally stress dependent because the diffusivity and equilibrium concentration of point
defects are modified in strained SiGe as a function of pressure.

Advanced Calibration for Process Simulation User Guide 238


V-2024.03
Chapter 6: Contents of Advanced Calibration of Sentaurus Process KMC
Part 1: Model Parameters for Implantation Damage and Point Defects

The parameters for SiGe interdiffusion have been extracted and calibrated against data from
the literature [53][54][55][56][57][58]. While the (dominant) interdiffusivity from vacancies
can be extracted reliably, the parameter error might be larger for the smaller component of
interdiffusivity from the diffusion of interstitials. The biggest uncertainties in calibration exist
for highly n-doped SiGe, where interdiffusion is believed to have a dominant contribution
from P–V or As–V pairs, which is not yet included in the calibration, due to a lack of
experimental data suitable for calibration.

Stress Effects
The stress effects on damage, diffusion, and binding in Advanced Calibration for Sentaurus
Process KMC are only taken into account if the KMC Stress switch is activated. This is
accomplished by the command:
KMC_SiGe_and_Stress_Effect 0 1

The procedure KMC_SiGe_and_Stress_Effect has two Boolean arguments: the first is


Ge_Chem_Eff and the second is Stress_Eff, which is dedicated for stress effects.

Alternatively, the KMC Stress switch can be activated directly:


pdbSet KMC Stress 1

The calibration of stress effects [1][2] is based on a relatively small set of measured data.
The models have not yet been tested rigorously against SIMS data for all dopant species
and nonequilibrium annealing conditions, and against electrical data from real-device
fabrication processes.

Amorphization and Recrystallization


No stress effect on damage accumulation is implemented in this calibration. Stress effects
on damage accumulation are not clearly experimentally evidenced and, at least, appear not
to be the primary cause of enhanced damage production in the case of implantation into
strained SiGe compared to relaxed Si [59].
The stress dependency of SPER is calibrated only for LKMC. The stress effect on in-plane
uniaxial stresses is nonlinear and is simulated by a model assuming that {100} events occur
through a dual-timescale atomistic mechanism [12][60]. In addition, compressive hydrostatic
pressure results in an enhancement of the (100) regrowth velocity [60].

Band Gap
The bandgap narrowing for silicon due to stress is calculated according to the deformation
potential theory as for continuum Sentaurus Process (see Impact of Stress on Electrostatic
Potential on page 71). The deformation potential constants for Si and Ge proposed by Van

Advanced Calibration for Process Simulation User Guide 239


V-2024.03
Chapter 6: Contents of Advanced Calibration of Sentaurus Process KMC
Part 1: Model Parameters for Implantation Damage and Point Defects

de Walle were chosen for Advanced Calibration. For strained SiGe, the model uses a simple
linear interpolation between Si and Ge.
The model is activated by:
pdbSet KMC Si BandGap FullNarrowing 1

Diffusion, Generation, and Recombination


Compressive hydrostatic pressure decreases the equilibrium concentration of interstitials
and increases the equilibrium concentration of vacancies. Similarly, the diffusivities of point
defects are modified as a function of pressure. The activation volumes for the above
prefactors were derived from the induced strain values calculated by Diebel [61][62], and the
value for pure germanium has been adjusted to match experimental data reported by
Kawamura et al. [63], in analogy to continuum Advanced Calibration (see Impact of Stress
on Point-Defect Parameters on page 71).
In addition, interstitials show an anisotropy in the diffusivity as a function of biaxial strain
according to [62]. Biaxial tension (as in strained silicon on SiGe) leads to significantly higher
in-plane diffusion compared to the perpendicular direction.
The diffusivities and equilibrium concentrations of interstitials and vacancies in silicon,
germanium, and SiGe are modified in the presence of stress in the following way:
pdbSetArray KMC Si Int VD { IMM -0.00014,-0.00007
IM -0.00014,-0.00007
I -0.00014,-0.00007
IP -0.00014,-0.00007
IPP -0.00014,-0.00007 }
pdbSetArray KMC Ge Int VD { IMM -0.00014,-0.00007
IM -0.00014,-0.00007
I -0.00014,-0.00007
IP -0.00014,-0.00007
IPP -0.00014,-0.00007 }
pdbSetArray KMC Si Vac VD { VMM 0.0012,0.0012
VM 0.0012,0.0012
V 0.0012,0.0012
VP 0.0012,0.0012
VPP 0.0012,0.0012 }
pdbSetArray KMC Ge Vac VD { VMM 0.0008,0.0008
VM 0.0008,0.0008
V 0.0008,0.0008
VP 0.0008,0.0008
VPP 0.0008,0.0008 }
pdbSetArray KMC SiGe Vac VD.X2 { VMM 0.0019,0.0019
VM 0.0019,0.0019
V 0.0019,0.0019
VP 0.0019,0.0019
VPP 0.0019,0.0019 }

Advanced Calibration for Process Simulation User Guide 240


V-2024.03
Chapter 6: Contents of Advanced Calibration of Sentaurus Process KMC
Part 2: Model Parameters for Impurities

pdbSet KMC Si Int VF I -0.0012


pdbSet KMC Ge Int VF I -0.0028
pdbSet KMC Si Vac VF V 0.0013
pdbSet KMC Ge Vac VF V 0.0013

Extended Defects
The {311} interstitial clusters are less stable in the presence of compressive hydrostatic
pressure, and the transformation of {311} defects into dislocation loops is faster [36][43][64].
The binding energies of small interstitial clusters, {311} defects, and dislocation loops are
lowered in the presence of compressive hydrostatic pressure:
pdbSet KMC Si Int VFCluster -0.01
pdbSet KMC Si Int VF311 -0.01
pdbSet KMC Si Int VFLoop -0.02

The transformation from {311} defects to dislocation loops occurs earlier in the presence of
compressive hydrostatic pressure:
pdbSet KMC Si Int VF311toLoop -0.04

The binding energies of small vacancy clusters and voids are not dependent on stress in this
calibration.

Part 2: Model Parameters for Impurities


This part describes the model parameters for impurities for the materials silicon, germanium,
and SiGe.
The calibrated impurities or dopants are boron, arsenic, phosphorus, indium, carbon,
fluorine, and nitrogen. In addition, hydrogen and helium parameters have been included, but
both species are assumed to be immobile for simplicity and computational efficiency. Finally,
the parameters of antimony are also calibrated, but are included in the default set of
Sentaurus Process and not in Advanced Calibration.

Implantation
For KMC, ion implantation is always performed by the binary collision approximation (BCA)
of the Sentaurus MC implantation model in full cascade mode. The positions of the
implanted and displaced particles are transferred to KMC for damage accumulation and
annealing modeling. In return, the computed damage information is transferred back to the
BCA model. For details about the damage calibration, see Amorphization and
Recrystallization on page 237.

Advanced Calibration for Process Simulation User Guide 241


V-2024.03
Chapter 6: Contents of Advanced Calibration of Sentaurus Process KMC
Part 2: Model Parameters for Impurities

As in the case for continuum Sentaurus Process (see Monte Carlo Implantation (General)
on page 91), the procedure _AdvCal::ImpPreProcess is used for the energy- and
dose-dependent calibration of implantation profiles (but not amorphization) by
Sentaurus MC.
The parameters for H, He, and P, as well as for As and B in the case of high-energy
implantation, in silicon have been adjusted to improve the accuracy of as-implanted profiles
in analogy to Advanced Calibration of continuum Sentaurus Process. A more accurate peak
position of implantation profiles is achieved by the calibration of the electronic stopping
correction factor, [Link]. The [Link] parameter has been calibrated to reproduce the
width of the peak of as-implanted profiles and the channeling tail.
In addition, the calibration of DebyeTemperature is included, which plays a role in scattering
ions out of the channeling directions of the silicon crystal. However, the parameter [Link]
does not need to be calibrated in the case of KMC. For details about the Sentaurus MC
calibration, see Monte Carlo Implantation (General) on page 91.

Diffusion
The effective diffusivity of an interstitial-mediated and a vacancy-mediated dopant X in
crystalline silicon or germanium is given by the sum of the contribution of all mobile species
and can be reduced in continuum models using the Maxwell–Boltzmann approximation to:
D(X-) = Si [D(X-I0) + D(X-I+)(p/ni) + D(X-I++)(p/ni)^2] +
Sv [D(X-V0) + D(X-V+)(p/ni) + D(X-V++)(p/ni)^2]

for the negatively charged immobile substitutional dopant X– and:


D(X+) = Si [D(X+I0) + D(X+I-)(n/ni) + D(X+I--)(n/ni)^2] +
Sv [D(X+V0) + D(X+V-)(n/ni) + D(X+V--)(n/ni)^2]

for the positively charged immobile substitutional dopant X+, where Si is the interstitial
supersaturation, Sv is the vacancy supersaturation, and n, p, and ni are the electron, hole,
and intrinsic concentration, respectively.
According to [18], the relation between the above diffusivity components and the
microscopical parameters is:
D(X-I0) = Uc * DiCi* * 1/Vbk(Xi-) * Vm(Xi-)
D(X-I-) = Uc * DiCi* * 1/Vbk(Xi-) * Vm(Xi0) * exp((e0(Xi-)- ei)/kT)
D(X-V0) = Uc * DvCv* * 1/Vbk(Xv-) * Vm(Xv-)
D(X-V-) = Uc * DvCv* * 1/Vbk(Xv-) * Vm(Xv0) * exp((e0(Xv-)- ei)/kT)

and:
D(X+I0) = Uc * DiCi* * 1/Vbk(Xi+) * Vm(Xi+)
D(X+I-) = Uc * DiCi* * 1/Vbk(Xi+) * Vm(Xi0) * exp((ei-e0(Xi+))/kT)
D(X+V0) = Uc * DvCv* * 1/Vbk(Xv+) * Vm(Xv+)
D(X+V-) = Uc * DvCv* * 1/Vbk(Xv+) * Vm(Xv0) * exp((ei-e0(Xv+))/kT)

Advanced Calibration for Process Simulation User Guide 242


V-2024.03
Chapter 6: Contents of Advanced Calibration of Sentaurus Process KMC
Part 2: Model Parameters for Impurities

where the effective capture volume is Uc = 3*lambda^3 (lambda=3.84nm is the distance in


the silicon lattice from one atom to its second-nearest neighbor), the breakup frequencies
are Vbk, the migration frequencies are Vm, the electronic levels are e0, and the intrinsic
Fermi level is ei (at a given temperature). The breakup frequencies are:
Vbk(Xi-) = Db(Xi-) * exp(-(Eb(Xi-)+Em(I0))/kT)
Vbk(Xv-) = Db(Xv-) * exp(-(Eb(Xv-)+Em(V0))/kT)
Vbk(Xi+) = Db(Xi+) * exp(-(Eb(Xi+)+Em(I0))/kT)
Vbk(Xv+) = Db(Xi+) * exp(-(Eb(Xv+)+Em(V0))/kT)

where the migration energies are Em, the binding prefactor is Db, and the energy is Eb.
This means that the diffusivities of Sentaurus Process Advanced Calibration can be
translated to a fixed relation of migration and binding parameters for Sentaurus Process
KMC: the bigger the binding energy, the bigger the migration energy to obtain the same
diffusivity. For this calibration of Sentaurus Process KMC, the binding prefactor and energy
as well as the electronic levels were first chosen reasonably, and the migration prefactors
and energies were deduced. Then, the optimal combination of binding energy and electronic
levels was searched, based on SIMS calibration, while the migration energies were shifted
accordingly to keep the same diffusivity.
Since kinetic Monte Carlo can also simulate diffusion during implantation at room
temperature, the consideration of as-implanted SIMS data is crucial for calibration of the
binding energies. For the situation of full cascade implantation, many impurities pair with the
abundant point defects because of their high implant energy and, therefore, they can diffuse
even at room temperature if the migration energies are small. Higher binding energies,
implying higher migration energies, can suppress migration at room temperature.

Boron
For silicon, the boron diffusivities of Pichler [65], on which also the continuum Advanced
Calibration Version V-2023.12 is based (see Boron Diffusion and Activation on page 40):
D(B-I0) = 0.123 * exp(-3.566eV/kT)
D(B-I+) = 4.210 * exp(-3.671eV/kT)
D(B-I++) = 39.8 * exp(-4.373eV/kT)

translate to microscopical diffusivities:


Vm(Bi-) = 4.55e-5 * exp(-0.364eV/kT)
Vm(Bi0) = 2.82e-3 * exp(-0.707eV/kT)
Vm(Bi+) = 1.29e-1 * exp(-1.912eV/kT)

where the binding prefactors equal 1.0 and the energies equal 0.8 eV for B– plus a neutral
interstitial and 0.1 eV for B– plus a vacancy and, for the following electronic levels for boron–
interstitial pairs and boron–vacancy pairs:
pdbSetDoubleArray KMC Si B e0 {BiM 0.8
BiP 1.04

Advanced Calibration for Process Simulation User Guide 243


V-2024.03
Chapter 6: Contents of Advanced Calibration of Sentaurus Process KMC
Part 2: Model Parameters for Impurities

BVM 0.1
BVP -5.0}

The electronic levels at T=0 for the boron–interstitial pairs with a negative and a positive
charge are taken from the literature [18], while the ones for boron–vacancy pairs are
calibrated. The intrinsic Fermi level, Efi(T) = Eg(T)/2 – 0.5 ln(Nc/Nv) kBT, is used for the
translation of the diffusivity from continuum to KMC simulation.
The binding energies for the negative-charged boron–interstitial and boron–vacancy pairs
are derived from the following relation [18]:
Eb(Bi-) = Eb(Bi0) - e0(Bi-) + e0(I+) = 1.20 - 0.80 + 0.40 = 0.8
Eb(BV-) = Eb(BV0) - e0(BV-) + e0(V+) = 0.17 - 0.10 + 0.03 = 0.1

with a binding energy of a neutral boron–interstitial pair of 1.2 eV that is close to the reported
value of 1.1 eV [66], and with a binding energy of a neutral boron–vacancy pair of 0.17 eV
in agreement with the literature [67].
The substitutional B– as well as the B– paired with any V are immobile.
In addition to diffusion in crystalline silicon, Advanced Calibration activates boron diffusion
in amorphous silicon mediated by dangling bonds [15][16]. The microscopical diffusivity is
set to:
Vm(Bi) = 72* exp(-2.8/kT)

where the binding prefactors equal 1.0, and the energies equal 0.2 eV [66] for B plus a
dangling bond. The coefficient for dangling bond creation per B atom in amorphous silicon
is set to 0.5 to obtain good accuracy with SIMS for boron implantation into amorphous silicon
[16][68][69]. However, for amorphization of boron marker layers, a coefficient of 1.0 gives
better accuracy, as reported in [15].
For germanium, the boron diffusivities of Uppal [70], on which also the continuum Advanced
Calibration Version V-2023.12 is based (see Boron Diffusion Coefficient on page 40):
D(B-I0) = 1.77e5 * exp(-4.65eV/kT)
D(B-I+) = 1.00e4 * exp(-4.65eV/kT)
D(B-I++) = 1.00e4 * exp(-4.65eV/kT)

translate to microscopical diffusivities:


Vm(Bi-) = 65.5 * exp(-2.87eV/kT)
Vm(Bi0) = 3.70 * exp(-2.70eV/kT)
Vm(Bi+) = 3.70 * exp(-2.73eV/kT)

where the binding prefactors equal 1.0, and the energies equal 1.4 eV for B– plus a neutral
interstitial, 0.1 eV for B– plus a vacancy, and for the following electronic levels for boron–
interstitial pairs and boron–vacancy pairs:
pdbSetDoubleArray KMC Ge B e0 {BiM 0.2
BiP 0.4

Advanced Calibration for Process Simulation User Guide 244


V-2024.03
Chapter 6: Contents of Advanced Calibration of Sentaurus Process KMC
Part 2: Model Parameters for Impurities

BVM 0.1
BVP -5.0}

The electronic levels and binding energies for the boron–interstitial and boron–vacancy
pairs are calibrated. The substitutional B– as well as B– paired with any V are immobile in
germanium, similar as in silicon.

Arsenic
For silicon, the arsenic diffusivities are close to the diffusivities reported by Martin-Bragado
et al. [71], which are not the same but are similar to continuum Advanced Calibration Version
V-2023.12 (see Arsenic Diffusivity on page 47):
D(As+I0) = 0.1350 * exp(-3.64eV/kT)
D(As+I-) = 4200.0 * exp(-4.80eV/kT)
D(As+V0) = 0.0780 * exp(-3.70eV/kT)
D(As+V-) = 10.900 * exp(-4.24eV/kT)
D(As+V--) = 7.500 * exp(-4.80eV/kT)

corresponding to microscopical diffusivities:


Vm(Asi+) = 5.0e-5 * exp(-0.40eV/kT)
Vm(Asi0) = 1.6 * exp(-1.79eV/kT)
Vm(AsV+) = 3.8e-4 * exp(-1.90eV/kT)
Vm(AsV0) = 5.4e-2 * exp(-2.51eV/kT)
Vm(AsV-) = 9.8 * exp(-1.04eV/kT)

where the binding prefactor equals 1.0 and the energy equals 0.76 eV for As+ plus a neutral
interstitial, and the binding prefactor equals 0.4 eV and the energy equals 1.34 eV for As+
plus a neutral vacancy, for the following electronic levels:
pdbSetDoubleArray KMC Si As e0 {AsiP 0.2
AsiM 5.0
AsVP 0.6
AsVM 1.1}

The electronic level at T=0 for arsenic–interstitial pairs and arsenic–vacancy pairs is
calibrated. Compared to [71], the binding prefactors are doubled. In addition, the binding
energy of the arsenic–interstitial pair is recalibrated based on low-temperature diffusion
experiments. In agreement with [71], the binding energy for the arsenic–vacancy pair is
based on [72] and is derived from the following relation [18]:
Eb(AsV+) = Eb(AsV0) + e0(AsV+) - e0(V-) = 1.34 + 0.6 - 0.6 = 1.34

leading to a binding energy of As+ plus a neutral vacancy that is close to another reported
value [73].
The substitutional As+ as well as As+ paired with I–– are immobile.
According to measurements by Larsen et al. [74], the diffusivity of As increases sharply for
regions with high As concentration (> 2.0×1020 cm–3).

Advanced Calibration for Process Simulation User Guide 245


V-2024.03
Chapter 6: Contents of Advanced Calibration of Sentaurus Process KMC
Part 2: Model Parameters for Impurities

This effect has been attributed to As–V percolation, which is not simulated with Advanced
Calibration for Sentaurus Process KMC. Another explanation is enhanced diffusion due to
mobile clusters, in particular, As2V [71], as discussed in Arsenic on page 245.
For germanium, the arsenic diffusivities, dominated by As+V– – pairs, are based on
Zographos and Erlebach [75] and are identical to the diffusivities of the continuum Advanced
Calibration Version V-2023.12 (see Arsenic Diffusivity on page 47):
D(As+I0) = 0.1350 * exp(-3.64eV/kT)
D(As+I-) = 4200.0 * exp(-4.80eV/kT)
D(As+V0) = 0.0780 * exp(-3.70eV/kT)
D(As+V-) = 10.900 * exp(-4.24eV/kT)
D(As+V--) = 2.0e3 * exp(-2.90eV/kT)

corresponding to microscopical diffusivities:


Vm(Asi+) = 5.0e-5 * exp(-1.22eV/kT)
Vm(Asi0) = 1.6 * exp(-2.55eV/kT)
Vm(AsV+) = 3.8e-4 * exp(-2.17eV/kT)
Vm(AsV0) = 5.4e-2* exp(-2.78eV/kT)
Vm(AsV-) = 9.8 * exp(-1.31eV/kT)

where the binding prefactors equal 1.0, and the energy equals 0.76 eV for As+ plus a neutral
interstitial, 1.68 eV for As+ plus a neutral vacancy, and for the following electronic levels:
pdbSetDoubleArray KMC Ge As e0 {AsiP 0.2
AsiM 5.0
AsVP 0.3
AsVM 0.5}

The electronic levels for arsenic–vacancy pairs are based on [76]; those for arsenic–
interstitial pairs are inherited from silicon. The binding energy for the arsenic–vacancy has
been calibrated and is in the range of reported values [76][77]. The binding energy for
arsenic–interstitial pairs are again inherited from silicon.
The substitutional As+ as well as As+ paired with I– – are immobile, as in silicon.

Phosphorus
For silicon, the phosphorus diffusivities, with their interstitial-mediated part taken from
Pichler [65] and their vacancy-mediated part taken from continuum Advanced Calibration
(see Phosphorus Diffusivity on page 49):
D(P+I0) = 0.453 * exp(-3.482eV/kT)
D(P+I-) = 1.610 * exp(-3.647eV/kT)
D(P+V0) = 0.20 * exp(-4.500eV/kT)
D(P+V-) = 0.20 * exp(-4.500eV/kT)
D(P+V--) = 0.33 * exp(-3.900eV/kT)

Advanced Calibration for Process Simulation User Guide 246


V-2024.03
Chapter 6: Contents of Advanced Calibration of Sentaurus Process KMC
Part 2: Model Parameters for Impurities

translate to microscopical diffusivities:


Vm(Pi+) = 1.68e-4 * exp(-1.310eV/kT)
Vm(Pi0) = 5.96e-4 * exp(-1.805eV/kT)
Vm(PV+) = 2.56e-2 * exp(-2.100eV/kT)
Vm(PV0) = 2.56e-2 * exp(-2.43eV/kT)
Vm(PV-) = 4.22e-2 * exp(-1.97eV/kT)

where the binding prefactors equal 1.0 and the energies equal 1.83 eV for P+ plus a neutral
interstitial and equal 1.34 eV for P+ plus a neutral vacancy and, for the following electronic
levels for the phosphorus interstitials and vacancy pairs:
pdbSetDoubleArray KMC Si P e0 {PiP 0.1
PiM 5.0
PVP 0.1
PVM 0.29}

The electronic levels at T=0 for the phosphorus–interstitial or phosphorus–vacancy pairs are
partly based on the literature [72].
The binding energies for the phosphorus–interstitial and phosphorus–vacancy pairs are
based on [72], and are derived from the following relation [18]:
Eb(Pi+) = Eb(Pi0) - e0(Pi+) + e0(I-) = 0.83 - 0.10 + 1.0 = 1.73
Eb(PV+) = Eb(PV0) - e0(PV+) + e0(V-) = 1.04 - 0.10 + 0.6 = 1.54

However, a small adjustment of +0.1 eV to the phosphorus–interstitial binding energy and


+0.2 eV to the phosphorus–vacancy binding energy were selected.
The substitutional P+ is immobile as well as the P+ is paired with I––.
For germanium, the phosphorus diffusivities, dominated by P+V–– pairs based on
Zographos and Erlebach [75] and with phosphorus–interstitial contribution inherited from
silicon:
D(P+I0) = 0.453 * exp(-3.482eV/kT)
D(P+I-) = 1.610 * exp(-3.647eV/kT)
D(P+V0) = 1.0e-4 * exp(-3.000eV/kT)
D(P+V-) = 1.0e-4 * exp(-3.000eV/kT)
D(P+V--) = 2.0e-3 * exp(-2.100eV/kT)

translate to microscopical diffusivities:


Vm(Pi+) = 1.68e-4 * exp(-1.63eV/kT)
Vm(Pi0) = 5.96e-4 * exp(-2.07eV/kT)
Vm(PV+) = 4.90e-7 * exp(-1.34eV/kT)
Vm(PV0) = 4.90e-7 * exp(-1.61eV/kT)
Vm(PV-) = 9.80e-6 * exp(-0.48eV/kT)

Advanced Calibration for Process Simulation User Guide 247


V-2024.03
Chapter 6: Contents of Advanced Calibration of Sentaurus Process KMC
Part 2: Model Parameters for Impurities

where the binding prefactors equal 1.0, and the energies equal 1.33 eV for P+ plus a neutral
interstitial, equal 1.55 eV for P+ plus a neutral vacancy, and for the following electronic levels
for the phosphorus interstitials and vacancy pairs:
pdbSetDoubleArray KMC Ge P e0 {PiP 0.10
PiM 5.00
PVP 0.10
PVM 0.60}

The electronic levels for phosphorus–vacancy pairs are based on [76]; those for
phosphorus–interstitial pairs are inherited from silicon. The binding energy for the
phosphorus–vacancy has been calibrated and is in the range of reported values [76][77].
The binding energy for phosphorus–interstitial pairs has been calibrated.
The substitutional P+ as well as P+ paired with I– – are immobile, as in silicon.

Indium
For silicon, the diffusivities of Pichler [65]:
D(In-I0) = 3.13 * exp(-3.668eV/kT)
D(In-I+) = 6.45 * exp(-3.752eV/kT)

translate to microscopical diffusivities:


Vm(Ini-) = 1.15e-3 * exp(-0.426eV/kT)
Vm(Ini0) = 2.39e-3 * exp(-0.730eV/kT)

where the binding prefactors equal 1.0, and the energies equal 0.76 eV for In plus a neutral
interstitial and equal 1.25 eV for In plus a vacancy, for the following electronic levels:
pdbSetDoubleArray KMC Si In e0 {IniM 0.7
IniP -5.0
InVM 1.0
InVP -5.0}

The electronic levels at T=0 for the indium–interstitial and indium–vacancy pairs are
calibrated.
The substitutional In– as well as the In– paired with I++ or any V are immobile.
Note:
Advanced Calibration for Sentaurus Process KMC does not include any
parameters for indium in germanium.

Carbon
For silicon, the diffusivity of continuum Advanced Calibration Version V-2023.12 (see
Carbon Diffusion on page 56):
D(C-I0) = 6.11 * exp(-3.293eV/kT)

Advanced Calibration for Process Simulation User Guide 248


V-2024.03
Chapter 6: Contents of Advanced Calibration of Sentaurus Process KMC
Part 2: Model Parameters for Impurities

translates to microscopical diffusivities:


Vm(Ci-) = 2.26e-3 * exp(-0.791eV/kT)

where the binding prefactor equals 1.0 and the binding energy equals 1.5 eV for C plus a
neutral interstitial [78]. In addition, C–V pairing is allowed with the binding prefactor equal to
1.0 and the binding energy equal to 0.25 eV for C plus a neutral vacancy. The substitutional
C and the C–V pair are both immobile.
Note:
Advanced Calibration for Sentaurus Process KMC does not include any
parameters for carbon in germanium.

Fluorine
Current understanding attributes fluorine diffusion in silicon primarily to the migration of
interstitial fluorine from a bond-centered site or a tetrahedral site, both of which are also the
ground-state configurations of fluorine [79][80]. To comply with this model for this calibration
of Sentaurus Process KMC, a very stable and mobile Fi of neutral charge has been
assumed [81], similar to the advanced fluorine model of continuum Advanced Calibration
Version V-2023.12 (see Fluorine Diffusion and Clustering on page 101). In the context of
Sentaurus Process KMC, interstitial fluorine (Fi) and fluorine–interstitial pairs (FI) are the
same.
Note:
By default, Sentaurus Process KMC assigns the particle name F to the interstitial
fluorine. To correctly rename the interstitial fluorine to Fi in Advanced Calibration,
the Monte Carlo implantation name of fluorine is redefined by the command:
set MCnameOf(Fluorine) "FI"

The microscopical diffusivity for Fi is assumed to be:


Vm(Fi) = 1.00e-6 * exp(-0.600eV/kT)

where the binding prefactors equal 1.0 and the energies equal 4.59 eV for F plus a neutral
interstitial and 1.95 eV for F plus a neutral vacancy as reported in [81].
The substitutional F and the F paired with V are immobile.
In addition to diffusion in crystalline silicon, Advanced Calibration activates fluorine diffusion
in amorphous silicon mediate by dangling bonds similar as for boron [15][16]. The
microscopical diffusivity with activation energy taken from the literature [82] is set to:
Vm(Fi) = 0.15* exp(-2.2/kT)

where the binding prefactors equal 1.0, and the energies equal 0.2 eV for F plus a dangling
bond. The coefficient for dangling bond creation per F atom in amorphous silicon is set to
0.5 to obtain good accuracy with SIMS for fluorine implantation into amorphous silicon [69].

Advanced Calibration for Process Simulation User Guide 249


V-2024.03
Chapter 6: Contents of Advanced Calibration of Sentaurus Process KMC
Part 2: Model Parameters for Impurities

Note:
Advanced Calibration for Sentaurus Process KMC does not include any
parameters for fluorine in germanium.

Nitrogen
Current understanding attributes nitrogen diffusion in silicon primarily to the migration of
interstitial nitrogen from a bond-centered site or a split-interstitial site, both of which are also
the ground-state configurations of nitrogen, and to the migration of nitrogen dimer [83][84].
Following the continuum model proposed by Adam et al. [85], a very stable and mobile Ni of
neutral charge has been assumed for this calibration of Sentaurus Process KMC. The N2I2
nitrogen dimer diffusion, which is activated by default and modeled by the special dopant
Nn, is switched off for simplicity by deactivating the following nonstandard interaction:
pdbSet KMC Si N SpecialReaction N,N,NnV false

Note:
By default, Sentaurus Process KMC assigns the particle name N to the interstitial
nitrogen. To correctly rename the interstitial nitrogen to Ni in Advanced
Calibration, the Monte Carlo implantation name of nitrogen is redefined by the
command:
set MCnameOf(Nitrogen) "Ni"

Based on [84], the microscopical diffusivities for Ni and NV are defined to be:
Vm(Ni) = 1.70e-3 * exp(-0.560eV/kT)
Vm(NV) = 1.00e-4 * exp(-1.360eV/kT)

where the binding prefactors equal 1.0, and the energies equal 3.5 eV [83] for N plus a
neutral interstitial and 1.7 eV [67] for N plus a neutral vacancy.
The substitutional N is immobile.
Nitrogen is known to introduce deep levels into the electronic band gap and, therefore, does
not serve as a dopant in silicon.
Note:
Advanced Calibration for Sentaurus Process KMC does not include any
parameters for nitrogen in germanium.

Clusters
In Advanced Calibration for continuum Sentaurus Process, the transient dopant cluster
model is, in general, used for dopant activation in crystalline silicon and germanium, which
incorporates only impurities and no point defects in the dopant clusters (see Defect Cluster
Models in Silicon and Germanium on page 30). For some impurities, the advanced models

Advanced Calibration for Process Simulation User Guide 250


V-2024.03
Chapter 6: Contents of Advanced Calibration of Sentaurus Process KMC
Part 2: Model Parameters for Impurities

offer dopant clustering with point defects (see Part 4: Comprehensive and Slow Models on
page 96). In Sentaurus Process KMC, this phenomenon can be explained by a dopant–
point defect clustering mechanism or dopant precipitation or both. Obviously, a straight
translation from the continuum activation model to the kinetic Monte Carlo cluster reactions
is only possible if a comprehensive dopant clustering model is available. Nevertheless,
additional dopant cluster calibration for Advanced Calibration of Sentaurus Process KMC is
performed to obtain a good agreement with SIMS and sheet resistance measurements.
For all impurity clusters, the corrections for the binding energies with bandgap narrowing are
taken into account using the parameter flag:
pdbSet KMC Si BandGap [Link] true

Boron
Based on [86][87][88], a comprehensive model for boron–interstitial clustering (BIC) in
silicon is implemented. The allowed BIC configurations are BnIm with 0 < n < 9 and 0 ≤ m ≤ 9.
The potential energies and capture volumes of B2, B2I, B2I2, B2I3, B3, B3I, B3I2, and B3I3 as
well as the boron–interstitial pair and the interstitial emission factors were translated from
the BIC model of [87], being part of the comprehensive models of continuum Advanced
Calibration (see Boron–Interstitial Clusters on page 99). Since this continuum BIC model
includes only the configurations B2, B2I, B2I2, B3I, B3I2, and B3I3, the potential energies and
capture volumes of the configurations BI2, B2I3, and B3 are calibrated in kinetic Monte Carlo
only. The potential energies and capture volumes of all other BIC configurations are
inherited from [88]. To obtain a good agreement with a wide range of SIMS, the boron–
interstitial pair emission factor is adjusted.
In addition to clustering in crystalline silicon, Sentaurus Process KMC allows dopant clusters
in amorphous silicon. In the case of boron, B2 and B3 clusters including one or two dangling
bonds are allowed to form with potential energies calibrated to SIMS [15][68].
In the literature [89], segregation of boron to end-of-range defects is observed. To account
for such an effect, the mobile boron–interstitial pairs can react with extended defects such
as {311} defects and dislocation loops. The pair breaks up and the interstitial is incorporated
into the extended defect, while the boron is regarded as substitutional.
For germanium, the same BIC model is implemented with identical cluster configurations
and capture volumes. The potential energies of the BICs as well as the boron–interstitial pair
and the interstitial emission factors were calibrated based on SIMS and sheet resistance
data from the literature [90][91].

Arsenic
Based on the density functional theory (DFT) calculations of Sahli et al. [72] and Harrison et
al. [92], arsenic forms stable clusters with vacancies and with interstitials in silicon. The
allowed As–V clusters are As2V, As3V, and As4V.

Advanced Calibration for Process Simulation User Guide 251


V-2024.03
Chapter 6: Contents of Advanced Calibration of Sentaurus Process KMC
Part 2: Model Parameters for Impurities

The allowed As–I clusters are:


• AsnI with 1 < n < 6
• AsnI2 with 0 < n < 7
• AsnI3 with 0 < n < 7
• AsnI4 with 1 < n < 5
The allowed arsenic agglomerates are As2, As3, and As4. The corresponding potential
energies are taken from [71], [72], or [92]. The capture volumes as well as the emission
factors are calibrated.
The formation energies of As3V, As4, and As4V are even lower than the isolated
substitutional As (Ef(AsnV) = Epot(AsnV + Ef(V) < 0) in silicon and, therefore, these are the
most stable clusters. Including AsnIm clusters in the arsenic-clustering model improves the
accuracy for lower thermal budgets and higher interstitial supersaturations compared to the
model where arsenic forms only stable clusters with vacancies, but not with interstitials [93].
Following [71] and [94], As2V clusters are defined as mobile clusters:
pdbSet KMC Si As Dm_Complex As2V 4.0
pdbSet KMC Si As Em_Complex As2V 2.0

With As2V clusters being mobile, the high concentration effect of As diffusion in silicon [74]
can be explained [71].
For germanium, the same As–V and As–I cluster model is implemented with identical cluster
configurations and capture volumes. The potential energies of As2V, As3V, and As4V are
similar to the ones reported in [77], while the potential energies of the remaining arsenic
clusters as well as the arsenic–point defect pair and the interstitial emission factors were
calibrated. Unlike for silicon, As2V clusters are defined as immobile clusters.

Phosphorus
For phosphorus, an approach was chosen following the suggestion of [72]. Phosphorus
forms stable clusters with vacancies and with interstitials. The allowed P–V clusters are P2V,
P3V, and P4V. The allowed P–I clusters are:
• PnI with 1 < n < 6
• PnI2 with 0 < n < 7
• PnI3 with 0 < n < 7
• PnI4 with 1 < n < 5
The allowed phosphorus agglomerates are P2, P3, and P4. The corresponding potential
energies are based on DFT calculations of [72], or follow the ones from As–I clusters (see

Advanced Calibration for Process Simulation User Guide 252


V-2024.03
Chapter 6: Contents of Advanced Calibration of Sentaurus Process KMC
Part 2: Model Parameters for Impurities

Arsenic on page 251),while the capture volumes as well as the emission factors are
calibrated by comparison with experimental data.
Unlike the neutral P–V and P–-I clusters, the phosphorus agglomerates P2, P3, and P4 are
assumed to carry a single positive charge like substitutional phosphorus. This assumption is
based on DFT calculations following [95].
To allow phosphorus deactivation at high concentrations and low thermal budgets, the
percolation model for diffusion-less deactivation by immobile reactants is activated.
The allowed reactions are:
• P + P <=> P2
• P + P2 <=> P3
• P + P3 <=> P4
• P + P2V <=> P3V
• P + P3V <=> P4V
In analogy to arsenic, P2V clusters are defined as mobile clusters and, to a lesser extent,
also P3V and P4V clusters. Since P–V clusters are mobile, the high concentration effect of
phosphorus diffusion in silicon can be explained.
To account for segregation of phosphorus to end-of-range defects in silicon, the mobile
phosphorus–interstitial pairs can react with {311} defects, resulting in the pair being trapped
at the edge of the extended defect. The corresponding binding energy and emission
prefactor have been calibrated.
For germanium, the same P–V and P–I cluster model is implemented with identical cluster
configurations, charges, and capture volumes. The potential energies of P2V, P3V, and P4V
are similar to the ones reported in [77], while the potential energies of the remaining
phosphorus clusters as well as the phosphorus–point defect pair and the interstitial emission
factors were calibrated. In line with silicon, the percolation model for diffusion-less
deactivation by immobile reactants is activated with identical parameter values.

Arsenic and Phosphorus


According to Sahli et al. [72], arsenic and phosphorus can form mixed clusters in silicon with
binding energies similar to pure arsenic or phosphorus clusters. Therefore, mixed arsenic–
phosphorus clusters with interstitials or vacancies are allowed in this calibration. The
allowed P–As–V clusters are PAsV, PAs2V, P2AsV, PAs3V, P2As2V, and P3AsV. The allowed
P–As–I clusters are PAsI, PAs2I, and P2AsI. The allowed P–As agglomerates are PAs, PAs2,
and P2As. The corresponding potential energies are taken from [72], while the capture
volumes are inherited from pure arsenic and phosphorus clusters, and the emission factors
have been calibrated.

Advanced Calibration for Process Simulation User Guide 253


V-2024.03
Chapter 6: Contents of Advanced Calibration of Sentaurus Process KMC
Part 2: Model Parameters for Impurities

Following [71], PAsV clusters are defined as mobile clusters:


pdbSet KMC Si P Dm_Complex PAsV 0.6
pdbSet KMC Si P Em_Complex PAsV 2.0

With PAsV clusters being mobile, the high concentration effect of As diffusion in silicon [74]
can be explained [71].
For germanium, the same mixed arsenic–phosphorus cluster model is implemented with
identical cluster configurations and capture volumes. The potential energies of PAsV, PAs2V,
P2AsV, PAs3V, P2As2V, and P3AsV are similar to the ones reported in [77], while the
potential energies of the remaining arsenic clusters as well as the arsenic–point defect pair
and the interstitial emission factors were calibrated. Unlike for silicon, PAsV clusters are
defined as immobile clusters.

Indium
In this calibration, indium is assumed to form indium–interstitial and indium–vacancy
clusters in silicon. The allowed In–V clusters are In2V, In3V, and In4V. The allowed In–I
clusters are InI2, In2I, and In3I. The allowed In agglomerates are In2, In3, and In4. The
corresponding potential energies and capture volumes as well as the emission factors are
calibrated by comparison with experimental data.
In the literature [96], segregation of indium to end-of-range defects is observed. To account
for such an effect, the mobile indium–interstitial pairs can react with extended defects such
as {311} defects and dislocation loops, resulting in the pair being trapped at the edge of the
extended defect. The corresponding binding energy and emission prefactor have been
calibrated.

Carbon
The model for carbon clustering in silicon in this calibration mainly follows the
comprehensive model for carbon–interstitial clustering as proposed in [78]. The allowed
carbon–interstitial cluster configurations are CI2, C2, C2I, C2I2, C2I3, C3, C3I, C3I2, C3I3,
C3I4, C4I1, C4I2, C4I3, C4I4, C4I5, C5I3, C5I4, C5I5, C5I6, C6I4, C6I5, C6I6, and C6I7. In
addition, the carbon–vacancy clusters C2V and C3V are allowed. The corresponding
potential energies and capture volumes as well as the emission factors are calibrated by
comparison with experimental data.
To account for segregation of carbon to end-of range defects in silicon, the mobile carbon–
interstitial pairs can react with {311} defects and dislocation loops [78]. The pair breaks up
and the interstitial is incorporated into the extended defect, while the carbon is regarded as
substitutional.

Advanced Calibration for Process Simulation User Guide 254


V-2024.03
Chapter 6: Contents of Advanced Calibration of Sentaurus Process KMC
Part 2: Model Parameters for Impurities

Boron and Carbon


According to [97][98], boron and carbon can form relatively stable mixed clusters in silicon.
Therefore, mixed boron–carbon clusters with interstitials are allowed in silicon in this
calibration. The allowed B–C–I clusters are BCI, B2CI, BC2I, BC2I2, BC3I2, BC3I3, BC3I4,
BC4I3, BC5I6, and BC5I7. The allowed B–C agglomerates are BC, BC2, and B2C. The
corresponding potential energies as well as the emission factors are calibrated, while the
capture volumes are inherited from pure boron and carbon clusters.

Fluorine
In the literature [79][80], strongly bound fluorine–vacancy complexes in silicon are
proposed. The F–V structures considered there are interstitial fluorine atoms decorating one
or two vacancies. In the context of Sentaurus Process KMC, these structures are
considered to be actually fluorine–interstitial clusters [81]. This means, for example, that the
cluster type F3V of [79][80], consisting of three interstitial F and one V, corresponds to an
F3I2 in Sentaurus Process KMC. The allowed F–I clusters in silicon are FI2, F2, F2I, F3, F3I,
F3I2, F4, F4I, F4I2, F4I3, F5, F5I, F5I2, F5I3, F6, F6I1, F6I2, F6I3, F6I4, F7I3, F7I4, F8I4, F8I5, and
F9I5. The allowed F–V clusters are FV2, F2V, F2V2, and F3V. The allowed fluorine
agglomerates are F2, F3, F4, F5, and F6. The corresponding potential energies are taken
from [81]. The capture volumes as well as the emission factors are calibrated.

Nitrogen
According to the literature [65], nitrogen can cluster with interstitials and vacancies in silicon.
The nitrogen dimer N2I2 is supposed to be very stable and even mobile [84]. In this
calibration, nitrogen dimer is allowed to diffuse in silicon by setting:
pdbSet KMC Si N Dm_Complex N2I2 6.70e-5
pdbSet KMC Si N Em_Complex N2I2 2.38

All other nitrogen–interstitial clusters are assumed to be immobile. The allowed N–I clusters
are NI2, N2I, N2I2, and N2I3. The only allowed N agglomerate is N2. The corresponding
potential energies and capture volumes as well as the emission factors are calibrated by
comparison with experimental data.
To account for segregation of nitrogen to end-of-range defects in silicon, mobile nitrogen–
interstitial pairs can react with {311} defects and dislocation loops, resulting in the pairs
being trapped at the edge of the extended defect. The corresponding binding energy and
emission prefactor have been calibrated.

Boron and Nitrogen


Similar to the mixed boron–carbon clusters, mixed boron–nitrogen clusters with interstitials
are allowed in silicon in this calibration. The allowed B–N–I clusters are BNI, BNI2, B2NI,
B2NI2, BN2I, and BN2I2. The allowed B–N agglomerates are BN, BN2, and B2N. The

Advanced Calibration for Process Simulation User Guide 255


V-2024.03
Chapter 6: Contents of Advanced Calibration of Sentaurus Process KMC
Part 2: Model Parameters for Impurities

corresponding potential energies and the emission factors are calibrated, while the capture
volumes are inherited from pure boron and nitrogen clusters.

Carbon and Nitrogen


In analogy to the mixed boron–nitrogen clusters, mixed carbon–nitrogen clusters with
interstitials are allowed in silicon in this calibration. The allowed C–N–I clusters are CNI,
CNI2, C2NI, C2NI2, CN2I, and CN2I2. The allowed C–N agglomerates are CN, CN2, and
C2N. The corresponding potential energies and the emission factors are calibrated, while
the capture volumes are inherited from pure carbon and nitrogen clusters.

Segregation
The Si–SiO2, Ge–SiO2, and Ge–GeO2 interface model accounting for dopant segregation is
the three-phase segregation model, similar to the one of continuum Sentaurus Process and
[99]. The Sentaurus Process KMC parameters [Link] and [Link] correspond to
the continuum parameter CMax, which defines the number of trap sites at the interface. While
the interface segregation of the continuum model is controlled by dopant trapping and the
parameters of the emission rates for each side of the interface, the parameters of the kinetic
Monte Carlo interface model are the barrier and binding energies of dopants on each side of
the interface.
The next sections explain the calibration of Si–SiO2, Ge–SiO2, and Ge–GeO2 segregation
for different dopants and impurities. In general, parameters for Si–nitride and Ge–nitride
interfaces are inherited from Si–SiO2 and Ge–GeO2 interfaces and are not explained
explicitly.

Boron
At the Si–SiO2 interface, the number of interface traps for boron is temperature independent
and corresponds to the one for Advanced Calibration for continuum Sentaurus Process at
647°C (see Boron Dose Loss on page 44). Interface barrier and binding energies for boron
were calibrated based on a collection of boron SIMS profiles, for both crystalline and
amorphous silicon.
The parameters of the Ge–SiO2 and Ge–GeO2 interfaces are set to the same values as for
the Si–SiO2 interface.
Since the interface barrier for boron trapping at the silicon side is relatively low, the limiting
factor for boron dose loss, in general, is the number of interface traps. In the presence of
fluorine trapped in the interface (such as in the case of BF2 implantation or fluorine
coimplantation after annealing), the number of boron interface traps is increased by the
[Link] and [Link] parameters. As a result, boron dose loss is
enhanced, similar as for continuum Sentaurus Process (see Fluorine Diffusion and
Clustering on page 101).

Advanced Calibration for Process Simulation User Guide 256


V-2024.03
Chapter 6: Contents of Advanced Calibration of Sentaurus Process KMC
Part 2: Model Parameters for Impurities

Arsenic
At the Si–SiO2 interface, the number of interface traps for arsenic is temperature
independent and corresponds to the one for Advanced Calibration for continuum Sentaurus
Process at 436°C (see Arsenic Dose Loss on page 48). Interface barrier and binding
energies for arsenic were calibrated based on a collection of arsenic SIMS profiles.
The parameters of the Ge–SiO2 and Ge–GeO2 interfaces are set to the same values as for
the Si–SiO2 interface.

Phosphorus
At the Si–SiO2 interface, the number of interface traps for phosphorus is much higher than
the value from Advanced Calibration for continuum Sentaurus Process (see Phosphorus
Dose Loss on page 52), because of the lack of P2 accumulation at the interface for KMC.
The interface barrier and binding energies for phosphorus were calibrated based on a
collection of phosphorus SIMS profiles. In particular, a negative barrier for P–I pairs was
chosen to allow for strong phosphorus dose loss.
The parameters for interface barriers, interface binding energies, interface traps, and
emission to oxide for the Ge–SiO2 and Ge–GeO2 interfaces were calibrated based on a
collection of phosphorus SIMS profiles.

Indium
The number of interface traps at the Si–SiO2 interface for indium cannot be taken from
Advanced Calibration for continuum Sentaurus Process, where no third phase is modeled
(see Indium Parameters on page 55), and is set to a high value to allow for strong dose loss.
The Si–SiO2 interface barrier and binding energies for indium were calibrated based on a
collection of indium SIMS profiles.

Carbon
The number of interface traps at the Si–SiO2 interface for carbon cannot be taken from
Advanced Calibration for continuum Sentaurus Process, where no third phase is modeled.
The number of interface traps, the interface barrier, and the binding energies for carbon
have been calibrated based on a collection of carbon SIMS profiles.

Fluorine
The number of Si–SiO2 interface traps, the Si–SiO2 interface barrier, and the binding
energies for fluorine have been calibrated to allow strong dose loss from silicon. In addition,
fluorine diffusion in oxide is strongly reduced to save CPU time.

Advanced Calibration for Process Simulation User Guide 257


V-2024.03
Chapter 6: Contents of Advanced Calibration of Sentaurus Process KMC
Part 2: Model Parameters for Impurities

Nitrogen
The number of Si–SiO2 interface traps, the Si–SiO2 interface barrier, and the binding
energies for nitrogen have been calibrated to allow strong dose loss from silicon. In addition,
the nitrogen emitted from the interface to the oxide is evaporated, and the nitrogen diffusion
in oxide is strongly reduced to save CPU time.

Recrystallization
Solid phase epitaxial regrowth (SPER) can affect the impurity distribution. The
recrystallization front can move dopants away, changing the concentration profiles [100].
Sentaurus Process KMC can model this effect by sweeping the dopant concentration stored
in amorphous defects when the amorphous defects recrystallize [3]. The parameters
[Link] and [Link] define the probability of a dopant
remaining in the same position after the recrystallization front passes and, therefore, the
parameters control the number of swept dopants.
In Sentaurus Process, the initial level of active concentration in amorphized regions after
recrystallization can be specified per dopant as AmInit. For Sentaurus Process KMC, this
corresponds to the prefactor [Link] and the activation energy
[Link]. For this calibration, the deposited dopant-cluster types during
SPER are specified by [Link] because the [Link]
parameter is unset. The improved algorithm activated by the [Link]
parameter is used for better resolution of the activation level for lower concentrations.

Boron
According to [100], no boron is swept by the recrystallization front in silicon. Therefore, the
[Link] value is set to 100% [3]. The maximum active boron concentration
after silicon recrystallization is temperature dependent, with 2.4×1020 at 550°C and
5.4×1020 cm–2 at 1050°C close to reported values [101]. These values are higher than the
one for continuum Advanced Calibration (see Boron–Interstitial Clusters on page 99). The
exceeding boron concentration is deposited as B2 clusters [102]. Finally, boron has no effect
on the silicon recrystallization velocity other than the doping effect (see Amorphization and
Recrystallization on page 228).
As for silicon, no boron is swept by the recrystallization front in germanium. Therefore, the
[Link] value is set to 100%. The maximum active boron concentration
after germanium recrystallization is 5.0×1020 cm–2 [91] for all temperatures. The exceeding
boron concentration is deposited as B2 clusters, in analogy to silicon. Finally, boron has no
effect on the germanium recrystallization velocity other than the doping effect (see
Amorphization and Recrystallization on page 228).

Advanced Calibration for Process Simulation User Guide 258


V-2024.03
Chapter 6: Contents of Advanced Calibration of Sentaurus Process KMC
Part 2: Model Parameters for Impurities

Arsenic
Following [100], arsenic is swept by the recrystallization front in silicon The
[Link] value is set to 20%. The maximum active arsenic concentration
after silicon recrystallization is temperature dependent, with 6.0×1020 cm–2 at 550°C and
1.3×1021 cm–2 at 1050°C. These values are higher than the one for continuum Advanced
Calibration. The exceeding arsenic concentration is deposited as As2 and As3 clusters in the
ratio 1:1. Finally, arsenic is assumed to have no effect on the recrystallization velocity other
than the doping effect (see Amorphization and Recrystallization on page 228).
As for silicon, arsenic is assumed to be swept by the recrystallization front in germanium.
The [Link] value is set to 50%. The maximum active arsenic concentration
after germanium recrystallization is 1.0×1020 cm–2 for all temperatures. The exceeding
arsenic concentration is deposited as As2 clusters only. Finally, arsenic has no effect on the
germanium recrystallization velocity other than the doping effect (see Amorphization and
Recrystallization on page 228).

Phosphorus
For silicon, the [Link] value for phosphorus is set to 10%. The maximum
active phosphorus concentration after silicon recrystallization is temperature dependent,
with 1.1×1021 cm–2 at 550°C and 2.4×1021 cm–2 at 1050°C. These values are higher than
the one for continuum Advanced Calibration. The exceeding phosphorus concentration is
deposited as P3 clusters. Finally, phosphorus has no effect on the recrystallization velocity
other than the doping effect (see Amorphization and Recrystallization on page 228).
As for silicon, phosphorus is assumed to be swept by the recrystallization front in
germanium. The [Link] value is set to 25%. The maximum active
phosphorus concentration after germanium recrystallization is temperature dependent, with
1.5×1020 cm–2 at 400°C and 2.4×1020 cm–2 at 550°C. The exceeding phosphorus
concentration is deposited as P2 clusters only. Finally, phosphorus has no effect on the
germanium recrystallization velocity other than the doping effect (see Amorphization and
Recrystallization on page 228).

Indium
Following [100], [103], and [104], indium is swept by the recrystallization front in silicon, and
its incorporation during SPER of silicon is temperature dependent [105]. The
[Link] value is set to 0.3 eV. The maximum active indium concentration
after silicon recrystallization is temperature dependent, with 1.1×1020 cm–2 at 550°C and
2.4×1020 cm–2 at 1050°C. These values are higher and more realistic than the one for
continuum Advanced Calibration. The exceeding indium concentration is deposited as In2
clusters. Finally, indium has no effect on the recrystallization velocity other than the doping
effect (see Amorphization and Recrystallization on page 228).

Advanced Calibration for Process Simulation User Guide 259


V-2024.03
Chapter 6: Contents of Advanced Calibration of Sentaurus Process KMC
Part 2: Model Parameters for Impurities

Carbon
For silicon, the [Link] value for carbon is set to 50%. The maximum
substitutional carbon concentration after silicon recrystallization is temperature dependent,
with 6.0×1020 cm–2 at 550°C and 1.3×1021 cm–2 at 1050°C. The exceeding carbon
concentration is deposited as C2 [78] and C3. Finally, carbon has an impurity effect on the
recrystallization velocity with a recrystallization activation energy of 2.8 eV for 100% carbon.

Fluorine
Following [106], fluorine is swept by the recrystallization front in silicon, and its incorporation
during SPER of silicon is temperature dependent. The [Link] value is set
to 0.5 eV. Concentrations below 1×1018 cm–2 are deposited as interstitial fluorine, while the
rest is deposited as F4I3 clusters.
Finally, fluorine has an impurity effect on the recrystallization velocity with a recrystallization
activation energy of 3.1 eV for 100% fluorine [9].

Nitrogen
In this calibration, no nitrogen is swept by the recrystallization front in silicon. Concentrations
below 1×1019 cm–2 are deposited as interstitial nitrogen, while the rest is deposited as N2I
clusters.

Epitaxy
In Sentaurus Process, selective epitaxial growth (SEG) of silicon, SiGe, and germanium with
in situ doping can be simulated by specifying total chemical concentrations of dopants in the
[Link] argument of the diffuse command. The initial level of active concentration in
epitaxially grown regions can be specified per dopant as EpiInit.
For Sentaurus Process KMC and using LKMC for SEG, this corresponds to the prefactor
[Link] and the activation energy [Link]. The deposited inactive
dopant-cluster types during SEG are specified by [Link].

Boron
For the initial activation in the case of in situ boron-doped epitaxial growth of silicon or
germanium, an activation level of 4×1020 cm–3 or 5×1020 cm–3, respectively, is assumed.
The exceeding boron concentration is deposited as B2 clusters.

Advanced Calibration for Process Simulation User Guide 260


V-2024.03
Chapter 6: Contents of Advanced Calibration of Sentaurus Process KMC
Part 2: Model Parameters for Impurities

Arsenic
For the initial activation in the case of in situ arsenic-doped epitaxial growth of silicon or
germanium, an activation level of 2.5×1020 cm–3 or 1.0×1020 cm–3, respectively, is
assumed. The exceeding arsenic concentration is deposited as As2 clusters.

Phosphorus
For the initial activation in the case of in situ phosphorus-doped epitaxial growth of silicon or
germanium, an activation level of 1.27×1020 cm–3 is assumed. The exceeding phosphorus
concentration is deposited as P4V clusters.
This assumption follows the literature [107][108][109], which attributes the incomplete
activation and tensile strain measured after high-concentration Si:P epitaxy to the presence
of the pseudocubic Si3P4 compound, corresponding to a P4V cluster in the KMC formalism.
(The Si3P4 compound consists of four substitutional P, three Si lattice atoms, and one Si
vacancy. The KMC formalism ignores the lattice Si; therefore, P4V.)

Carbon
The maximum substitutional carbon concentration in epitaxially grown silicon is assumed to
be 8×1020 cm–3, which corresponds to 1.6%. The exceeding carbon concentration is
deposited as C2 clusters.

SiGe
This section discusses silicon germanium.

Linear Germanium Correction Factors


By default, the Ge effects are modeled by linear correction factors for silicon parameters.
The calibration is valid for low Ge mole fractions ranging between 0% and 50%.
For low Ge mole fractions, the chemical effect of Ge on dopants is indirect by point defects,
which themselves encounter a Ge chemical effect, and is direct mainly for dopant–defect
pair diffusion [1][2]. There is no experimental evidence for any chemical effect of Ge on
dopant solubility.

Boron
Boron diffusion is retarded in relaxed SiGe in comparison to the one in Si (see Germanium
Effect on Dopant Diffusivity on page 67). The linear expression for the diffusivity activation
energy was derived by Ahn [110] and confirmed for low mole fractions by measurements
performed within the ATOMICS research project [36].

Advanced Calibration for Process Simulation User Guide 261


V-2024.03
Chapter 6: Contents of Advanced Calibration of Sentaurus Process KMC
Part 2: Model Parameters for Impurities

In this calibration, the migration barrier and the formation energy of B–I pairs are increased
in the presence of Ge:
pdbSet KMC Si B EmGe BiM [expr 0.23/5.e22]
pdbSet KMC Si B EmGe Bi [expr 0.23/5.e22]
pdbSet KMC Si B EmGe BiP [expr 0.23/5.e22]
pdbSet KMC Si B EfGe BiM [expr 0.30/5.e22]

To allow for boron segregation into SiGe [111], the formation energy of substitutional B
decreases in the presence of Ge [51]:
pdbSet KMC Si B EfGe B [expr -0.50/5.e22]

In addition, boron diffusion in amorphous SiGe is retarded in comparison to amorphous


silicon [112]. Again, the migration barrier and the formation energy of boron and
dangling-bond pairs increase in the presence of Ge.

Arsenic
Arsenic diffusion is enhanced in relaxed SiGe in comparison to the one in Si [113][114][115]
(see Germanium Effect on Dopant Diffusivity on page 67). In this calibration, the migration
barrier of As–I pairs is increased in the presence of Ge, while the migration barrier of As–V
pairs is lowered in the presence of Ge. The corresponding pair formation energies are
independent of Ge content.

Phosphorus
Phosphorus diffusion is enhanced in relaxed SiGe in comparison to the one in Si [114][116].
In this calibration, the migration barrier of P–I pairs is decreased in the presence of Ge, while
the migration barrier of P–V pairs is unchanged in the presence of Ge. The P–I pair
formation energy is independent of Ge content, while the formation energy of P–V pairs is
increased in the presence of Ge.

Silicon and Germanium Parameter Interpolation


Optionally, you can activate Si and Ge parameter interpolation for SiGe using the command:
KMC_SiGe_and_Stress_Effect 1 0

This deactivates the default linear correction factors and uses the interpolation parameters
defined for SiliconGermanium. The calibration covers the full Ge mole fraction range (0–
100%).
In general, prefactor parameters are interpolated in logarithmic scale, and energy
parameters are interpolated in linear scale.

Advanced Calibration for Process Simulation User Guide 262


V-2024.03
Chapter 6: Contents of Advanced Calibration of Sentaurus Process KMC
Part 2: Model Parameters for Impurities

Boron
According to experimental work [36][117], interstitial-meditated B diffusion in relaxed SiGe is
retarded with increasing Ge content up to ~50%. For higher Ge content, boron diffusion is
again enhanced [118]. Therefore, a parabolic dependency on Ge mole fraction of the
diffusivity is assumed for the full Ge mole fraction range instead (see Germanium Effect on
Dopant Diffusivity on page 67). However, the calibration based on SIMS for Si, SiGe [118]
[119], and Ge [34][70] revealed no need for parabolic interpolation of the microscopic
parameters for the boron–interstitials (migration energy, prefactor, and binding energy) but
for the ionization energies only. The nonlinear effect of Ge on macroscopic boron diffusivity
in SiGe is a consequence of the parabolic dependency of interstitials on the Ge mole
fraction.
For BIC potential energies and the initial activation after SPER, linear interpolation is
assumed. The boron–interstitial cluster emission prefactor is interpolated parabolically.

Arsenic
Arsenic diffusion is enhanced in relaxed SiGe in comparison to the one in silicon [113][114]
[115] (see Germanium Effect on Dopant Diffusivity on page 67). In Advanced Calibration,
only the migration rate of As+V– – is larger in germanium than in silicon. For all other As–V
and As–I pairs, they are larger in silicon than in germanium. Based on SIMS data provided
to Synopsys by AMAT-VSE, parabolic interpolation for the arsenic–interstitial and arsenic–
vacancy migration energy has been calibrated.
For the arsenic-cluster potential energies, the cluster emission prefactors, and the initial
activation after SPER, parabolic interpolation is assumed. Moreover, the prefactor for As2V
migration is interpolated parabolically to limit cluster diffusion in SiGe.
For the interface with SiO2, parabolic interpolation is used for the number of interface traps
and the As+V– – energy barrier.

Phosphorus
As for arsenic, phosphorus diffusion is enhanced in relaxed SiGe in comparison to the one
in silicon [114][116] (see Germanium Effect on Dopant Diffusivity on page 67). In Advanced
Calibration, the migration rate of P +V– – and P–I pairs is larger in germanium than silicon.
For all other P–V pairs, they are larger in silicon than in germanium. Based on SIMS data
provided to Synopsys by AMAT-VSE [46] and SIMS data from the literature [120], parabolic
interpolation for the phosphorus–interstitial and phosphorus–vacancy migration energy has
been calibrated.
For the phosphorus-cluster potential energies, the cluster emission prefactors, and the initial
activation after SPER, parabolic interpolation is assumed.
For the interface with SiO2, parabolic interpolation is used for the P+V– – energy barrier.

Advanced Calibration for Process Simulation User Guide 263


V-2024.03
Chapter 6: Contents of Advanced Calibration of Sentaurus Process KMC
Part 2: Model Parameters for Impurities

Stress Effects
The stress effects on dopants in Advanced Calibration for Sentaurus Process KMC are
taken into account only if the KMC Stress switch is activated. This is accomplished by the
command:
KMC_SiGe_and_Stress_Effect 0 1

The procedure KMC_SiGe_and_Stress_Effect has two Boolean arguments: the first is


Ge_Chem_Eff and the second is Stress_Eff, which is dedicated for stress effects.

Alternatively, the KMC Stress switch can be activated directly:


pdbSet KMC Stress 1

The calibration of stress effects [1][2] is based on a relatively small set of measured data.
The models have not yet been tested rigorously against SIMS data for all dopant species
and nonequilibrium annealing conditions, and against electrical data from real-device
fabrication processes.
The stress effect on dopants is indirect by stress-dependent point defects and is direct
mainly for dopant–defect pair diffusion [1][2]. Dopant diffusion in Si or SiGe can be
anisotropic in the presence of biaxial strain and, therefore, anisotropic diffusion tensors are
used [121]. Dopant solubilities are changed in the presence of stress according to [122].
However, in this calibration, the dopant–defect cluster stability is kept independent of stress
due to the limited availability of experimental data for calibration.

Boron
According to first-principles density functional theory calculations [61][123][124], boron
diffusion in silicon shows an anisotropy as a function of biaxial strain. Biaxial tension (as in
strained Si on SiGe) leads to significantly higher in-plane diffusion compared to the
perpendicular direction. The diffusion barrier along the strain plane is decreased (with a
value similar to continuum Advanced Calibration (see Impact of Pressure on Dopant
Diffusivity on page 73)), while the barrier in the vertical direction remains unchanged:
pdbSetArray KMC Si B VD { BiM -0.0024,0.0
Bi -0.0024,0.0
BiP -0.0024,0.0 }

The formation energy of B–I pairs is independent of strain. To allow for boron segregation
into SiGe [51], the formation energy of substitutional B decreases in the presence of strain:
pdbSetDoubleArray KMC Si B VF { B -0.03
BiM 0.0 }
pdbSetDoubleArray KMC Ge B VF { B -0.03
BiM 0.0 }

Advanced Calibration for Process Simulation User Guide 264


V-2024.03
Chapter 6: Contents of Advanced Calibration of Sentaurus Process KMC
Part 2: Model Parameters for Impurities

Arsenic
According to first-principles density functional theory calculations [110][124], arsenic in
silicon undergoes a total diffusivity enhancement under compressive strain [115] and little
change under tensile strain [125]. The migration barrier of As–I pairs is increased under
compressive strain, while the migration barrier of As–V pairs is lowered under compressive
strain, with values similar to continuum Advanced Calibration (see Impact of Pressure on
Dopant Diffusivity on page 73).
The diffusivity enhancement or retardation is isotropic and larger for the vacancy
mechanism than for the interstitial mechanism:
pdbSetArray KMC Si As VD { AsiP -0.0040,-0.0040
Asi -0.0040,-0.0040
AsVP 0.0018,0.0018
AsV 0.0018,0.0018
AsVM 0.0018,0.0018 }
pdbSetArray KMC Ge As VD { AsiP -0.0040,-0.0040
Asi -0.0040,-0.0040
AsVP 0.0018,0.0018
AsV 0.0018,0.0018
AsVM 0.0018,0.0018 }

In this calibration, the formation energies of As–I and As–V pairs are independent of strain.
To allow for arsenic segregation out of SiGe, the formation energy of substitutional As
increases slightly in the presence of strain:
pdbSetDoubleArray KMC Si As VF { Asi 0.008
AsiP 0.0
AsVP 0.0 }
pdbSetDoubleArray KMC Ge As VF { Asi 0.008
AsiP 0.0
AsVP 0.0 }

Phosphorus
According to first-principles density functional theory calculations [110], phosphorus
undergoes a total diffusivity retardation under compressive strain and enhancement under
tensile strain. The migration barrier of P–I pairs is increased under compressive strain, while
the migration barrier of P–V pairs is lowered under compressive strain, with values similar to
continuum Advanced Calibration (see Impact of Pressure on Dopant Diffusivity on page 73).
While strain has negligible impact on in-plane diffusivity, out-of-plane diffusivity is a modest
function of strain:
pdbSetArray KMC Si P VD { PiP -0.0022,-0.0022
Pi -0.0022,-0.0022
PVP 0.0040,0.0040
PV 0.0040,0.0040
PVM 0.0040,0.0040 }

Advanced Calibration for Process Simulation User Guide 265


V-2024.03
Chapter 6: Contents of Advanced Calibration of Sentaurus Process KMC
Section 4: Model Parameters for Epitaxial Growth

pdbSetArray KMC Ge P VD { PiP -0.0011,-0.0011


Pi -0.0011,-0.0011
PVP 0.0020,0.0020
PV 0.0020,0.0020
PVM 0.0020,0.0020 }

In this calibration, the formation energies of P–I and P–V pairs are independent of strain. To
allow for phosphorus segregation out of SiGe [120], the formation energy of substitutional P
increases slightly in the presence of strain:
pdbSetDoubleArray KMC Si P VF { P 0.004
PiP 0.0
PVP 0.0 }
pdbSetDoubleArray KMC Ge P VF { P 0.04
PiP 0.0
PVP 0.0 }

Indium
According to first-principles density functional theory calculations [110], indium undergoes a
total diffusivity retardation under compressive strain and enhancement under tensile strain.
The migration barrier of In–I pairs is increased under compressive strain, with values similar
to continuum Advanced Calibration (see Impact of Pressure on Dopant Diffusivity on
page 73). The diffusivity enhancement or retardation is isotropic:
pdbSetArray KMC Si In VD { IniM -0.0009,-0.0009
Ini -0.0009,-0.0009
IniP -0.0009,-0.0009 }

In this calibration, the formation energy of In–I pairs is independent of strain:


pdbSetDoubleArray KMC Si In VF { IniM 0.0 }

Section 4: Model Parameters for Epitaxial Growth


This section describes the model parameters for epitaxial growth.
Selective epitaxial growth is simulated by LKMC, which must be activated by:
pdbSet KMC Epitaxy true

The default LKMC model for epitaxial growth is the [Link] model.

[Link] Model
The default model for selective epitaxial growth simulation is the one reported by
Martin-Bragado and Moroz [126].

Advanced Calibration for Process Simulation User Guide 266


V-2024.03
Chapter 6: Contents of Advanced Calibration of Sentaurus Process KMC
Section 4: Model Parameters for Epitaxial Growth

The (default) model switch is:


pdbSet LKMC [Link] [Link]

This computationally efficient atomistic model can reproduce the growth rates and shapes
of selectively grown Si and SiGe. The growth process, which in reality depends on many
aspects such as adsorption, desorption, surface diffusion, simultaneous etching, and
chemistry as well as gas composition and pressure, is modeled simply by surface
orientation–dependent net growth rates. Therefore, the calibration being part of Advanced
Calibration is only a baseline one, but it cannot predict the absolute growth of all epitaxial
processes due to the limited set of parameters included in the model. To fit the absolute
growth, the recommended scaling factors are either the [Link] argument of the
diffuse Epi command or the parameter:
pdbSet KMC Si Epitaxy [Link] <value>

The parameters for epitaxial growth of undoped (100) silicon and (100) germanium are
based on low-temperature chemical vapor deposition (CVD) experiments [127][128][129].
The growth rates show an exponential dependency on temperature in the hydrogen
desorption–limited regime of silicon (≤ 800°C) and germanium (≤ 700°C). The activation
energies associated with the growth processes are 1.9 eV for silicon (dichlorosilane [127]
and disilane [129]) and 0.4 eV for germanium (germane [127]). The prefactors for the
different orientations and the correction energy for {311} planes are defined to have average
values and to be in line with [126].
Linear SiGe parameter interpolation is assumed for epitaxial growth. The growth prefactors
are interpolated in logarithmic scale, and the activation energies are interpolated in linear
scale. In general, SiGe growth is faster than silicon growth and is performed at lower
temperatures.

Coordinations Model
An alternative model for selective epitaxial growth simulation is activated by:
pdbSet LKMC [Link] Coordinations

In contrast to the [Link] model, the growth rates of the Coordinations


model are based on species-dependent bonds and atomistic gas molecule arrival rates. The
Coordinations model requires the specification of epitaxial gas conditions by specifying
ambients in the diffuse, temp_ramp, or gas_flow commands. In addition, it is assumed
that the net growth process is anisotropic and depends on the total binding energy of the
site, which is the summation of all neighbor binding energies up to the third nearest
neighbors of the diamond lattice [130]. Again, growth rates and faceting of selectively grown
Si and SiGe can be reproduced, but many aspects of the growth process such as
desorption, surface diffusion, simultaneous etching, and chemistry are ignored.

Advanced Calibration for Process Simulation User Guide 267


V-2024.03
Chapter 6: Contents of Advanced Calibration of Sentaurus Process KMC
Section 4: Model Parameters for Epitaxial Growth

The parameters for epitaxial growth of undoped (100) silicon and (100) germanium are
again based on low-temperature CVD experiments and are defined for the ambients
dichlorosilane [127], germane [127], silane [128], and disilane [129].
The growth rates show an exponential dependency on temperature in the hydrogen
desorption–limited regime of silicon (≤ 800°C) and germanium (≤ 700°C).
The calibrated parameters are set as the defaults of Sentaurus Process and, therefore, they
are not included in the Advanced Calibration file.

[Link] Model
A second alternative model for selective epitaxial growth simulation is activated by:
pdbSet LKMC [Link] [Link]

In line with the Coordinations model, the growth rates of the [Link]
model are based on species-dependent bonds and atomistic gas molecule arrival rates. The
[Link] model requires the specification of epitaxial gas conditions by
specifying ambients in the diffuse, temp_ramp, or gas_flow commands. Its anisotropic net
growth process depends on the total binding energy of the site, which is the summation of
all neighbor binding energies up to the third nearest neighbors of the diamond lattice [130].
In addition, surface chemistry such as molecule adsorption, desorption, dissociation, and
etching is modeled explicitly to take into account the hydrogen passivation of the surface
and desorption. This allows a calibration for the entire temperature range including the
hydrogen desorption–limited regime at low temperatures and the precursor supply- and
dissociation-limited regime at high temperatures [46]. However, surface diffusion ignored for
efficiency, which will likely lead to rougher surfaces in the simulation compared to reality.

Epitaxial Growth
The parameters for epitaxial growth of undoped (100) silicon and (100) germanium are
again based on CVD experiments for the ambients dichlorosilane, germane, silane, disilane,
phosphine, arsine, and diborane.

Silicon Growth
Epitaxial growth of undoped silicon for dichlorosilane ambients with H2 carrier gas is
calibrated against data for different temperatures, partial pressures, and orientations from
the literature [127][131][132][133]. In this calibration, dichlorosilane (SiH2Cl2) adsorption is
modeled by decomposition into the surface passivating products silylene (SiH*) and
hydrogen (H*), while chlorine (Cl*) is supposed to desorb immediately. Silylene decomposes
into Si and a passivating H* by a surface reaction; however, for this specific reaction, H* is
supposed to desorb immediately.

Advanced Calibration for Process Simulation User Guide 268


V-2024.03
Chapter 6: Contents of Advanced Calibration of Sentaurus Process KMC
Section 4: Model Parameters for Epitaxial Growth

Epitaxial growth of undoped silicon for silane and disilane ambients with H2 carrier gas is
calibrated against data for different temperatures and partial pressures from the literature
[133][134][135]. Silane (SiH4) and disilane (Si2H6) adsorption is modeled by decomposition
into the surface passivating products silylene (SiH2* or SiH3*) and H*. Silylenes decompose
into Si and two to three passivating H* by a surface reaction; however, for this specific
reaction, H* is supposed to desorb immediately.
In situ doped epitaxial growth of silicon for phosphine, arsine, and diborane ambients with
H2 carrier gas is calibrated against data from the literature [136][137][138]. In line with silane
and disilane, the adsorption of phosphine (PH3), arsine (AsH3), and diborane (B2H6) is
modeled by decomposition into the surface passivating products PH2*, or AsH2*, or BH2*,
and H*.
PH2*, AsH2*, and BH2* decompose into P, As, or B and two passivating H* by surface
reactions; however, for these specific reactions, H* is supposed to desorb immediately. In
addition, surface segregation for P and As is assumed as suggested in the literature [139]
[140]. The exchange of the subsurface P and As with the surface Si leads to a dopant-rich
surface that influences the epitaxial growth and limits dopant incorporation in the bulk.

Germanium and SiGe


To simulate germanium or SiGe epitaxial growth using the [Link]
model, it is recommended to switch on an optional parameter set by calling:
LKMC_SiGe_Epitaxy

This activates a ten times higher hydrogen adsorption and desorption rate compared to the
standard rates, which are needed to realize simulated germanium growth rates that are not
growth time dependent. The higher hydrogen adsorption and desorption rates allow for a
quick saturation of hydrogen coverage of the germanium surface and, therefore, stabilize
the growth velocity. As a consequence, other adsorption rates have been adjusted to
compensate for the higher hydrogen reaction rates. However, silicon growth can also be
accurately simulated with the parameter set of LKMC_SiGe_Epitaxy, but the simulation
times are one order of magnitude slower compared to the standard parameter set due to
many more hydrogen events.
Epitaxial growth of undoped germanium for germane ambients with H2 carrier gas is
calibrated against data for different temperatures and partial pressures from the literature
[141][138]. Germane (GeH4) adsorption is modeled by decomposition into the surface
passivating products germylene (GeH2*) and H*. Germylene decomposes into Ge and two
passivating H* by a surface reaction; however, for this specific reaction, H* is supposed to
desorb immediately.
Epitaxial growth of undoped SiGe for dichlorosilane and germane ambients with H2 carrier
gas is calibrated against data for different temperatures and partial pressures from the
literature [132][134][138][142][143]. To have both the growth rate and the grown Ge mole
fraction in the correct range, surface segregation for Ge must be assumed as suggested in

Advanced Calibration for Process Simulation User Guide 269


V-2024.03
Chapter 6: Contents of Advanced Calibration of Sentaurus Process KMC
Section 4: Model Parameters for Epitaxial Growth

the literature [140]. The exchange of the subsurface Ge with the surface Si leads to a
Ge-rich surface that influences the epitaxial growth and limits Ge incorporation in the bulk.
In situ doped epitaxial growth of SiGe for diborane ambients with H2 carrier gas is calibrated
against data from the literature [144].
Moreover, LKMC_SiGe_Epitaxy accounts for a Ge mole fraction–dependent lattice density
when transferring the LKMC particles to KMC by setting:
pdbSet LKMC [Link] 1

Therefore, to activate both Ge parameter interpolation and Ge mole fraction–dependent


lattice density for KMC, it is recommended to also call:
KMC_SiGe_and_Stress_Effect 1 *

Etching
Etching of undoped silicon for HCl ambients with H2 carrier gas is calibrated against data
from the literature [145][146][147]. In this calibration, HCl adsorption is modeled by
decomposition into the surface passivating products hydrogen (H*) and chlorine (Cl*). The
etching process itself is modeled by an etch reaction by a surface passivating species
SiHCl* – Cl* and a silicon surface atom form SiHCl* – which desorbs. The inclusion of H* in
this reaction is neglected.
The faster etching of (110) silicon compared to the (100) silicon is achieved by a strong
bonding of SiHCl* to the nearest neighbor (2 in the case of (100) silicon and 1 in the case of
(110) silicon).
For low temperatures, the process is SiHCl* formation/desorption limited as well as
hydrogen passivation limited, as shown in experiments [145] where the orientation
dependency is strong for low temperatures and low for high temperatures. For high
temperatures, the process is HCl adsorption limited, as demonstrated in experiments [146]
where the etch rates depend strongly on the HCl/H2 gas flow ratio at high temperatures.
Etching of undoped germanium and SiGe for HCl ambients with H2 carrier gas is calibrated
against data from the literature [148] in analogy to the one for silicon. The accuracy of
simulations with and without LKMC_SiGe_Epitaxy is comparable.
Note:
The calibration for epitaxial growth and etching is based on simplistic
assumptions for the surface chemistry and does not utilize the full potential of the
[Link] model. More complex and physical surface
reactions could be used, but accurate calibration is currently trailing.

Advanced Calibration for Process Simulation User Guide 270


V-2024.03
Chapter 6: Contents of Advanced Calibration of Sentaurus Process KMC
References

References
[1] N. Zographos et al., “Process Modeling of Chemical and Stress Effects in SiGe,” in
19th International Conference on Ion Implantation Technology (IIT), Valladolid, Spain,
pp. 212–216, June 2012.
[2] N. Zographos and I. Martin-Bragado, “Process modeling of stress and chemical
effects in SiGe alloys using kinetic Monte Carlo,” Journal of Computational
Electronics, vol. 13, no. 1, pp. 59–69, 2014.
[3] N. Zographos and I. Martin-Bragado, “A Comprehensive Atomistic Kinetic Monte
Carlo Model for Amorphization/Recrystallization and its Effects on Dopants,” in MRS
Symposium Proceedings, Doping Engineering for Front-End Processing, vol. 1070,
p. 1070-E03-01, 2008.
[4] T. E. Haynes and O. W. Holland, “Comparative study of implantation-induced damage
in GaAs and Ge: Temperature and flux dependence,” Applied Physics Letters, vol. 59,
no. 4, pp. 452–454, 1991.
[5] S. Koffel et al., “Amorphization kinetics of germanium during ion implantation,”
Journal of Applied Physics, vol. 105, no. 1, p. 013528, 2009.
[6] B. L. Darby et al., “Self-implantation energy and dose effects on Ge solid-phase
epitaxial growth,” Nuclear Instruments and Methods in Physics Research B, vol. 269,
no. 1, pp. 20–22, 2011.
[7] L. Pelaz et al., “Atomistic modeling of amorphization and recrystallization in silicon,”
Applied Physics Letters, vol. 82, no. 13, pp. 2038–2040, 2003.
[8] J. J. Loferski and P. Rappaport, “Radiation Damage in Ge and Si Detected by Carrier
Lifetime Changes: Damage Thresholds,” Physical Review, vol. 111, no. 2, pp. 432–
439, 1958.
[9] G. L. Olson and J. A. Roth, “Kinetics of Solid Phase Crystallization in Amorphous
Silicon,” Materials Science Reports, vol. 3, pp. 1–78, 1988.
[10] G.-Q. Lu, E. Nygren, and M. J. Aziz, “Pressure-enhanced crystallization kinetics of
amorphous Si and Ge: Implications for point-defect mechanisms,” Journal of Applied
Physics, vol. 70, no. 10, pp. 5323–5345, 1991.
[11] L. Csepregi et al., “Substrate-orientation dependence of the epitaxial regrowth rate
from Si-implanted amorphous Si,” Journal of Applied Physics, vol. 49, no. 7,
pp. 3906–3911, 1978.
[12] B. Sklenard et al., “An atomistic investigation of the impact of in-plane uniaxial stress
during solid phase epitaxial regrowth,” Applied Physics Letters, vol. 102, no. 15,
p. 151907, 2013.
[13] B. C. Johnson and J. C. McCallum, “Dopant-enhanced solid-phase epitaxy in buried
amorphous silicon layers,” Physical Review B, vol. 76, no. 4, p. 045216, 2007.

Advanced Calibration for Process Simulation User Guide 271


V-2024.03
Chapter 6: Contents of Advanced Calibration of Sentaurus Process KMC
References

[14] D. D’Angelo et al., “Role of the strain in the epitaxial regrowth rate of heavily doped
amorphous Si films,” Applied Physics Letters, vol. 93, p. 231901, December 2008.
[15] S. Mirabella et al., “Mechanism of Boron Diffusion in Amorphous Silicon,” Physical
Review Letters, vol. 100, p. 155901, April 2008.
[16] I. Martin-Bragado and N. Zographos, “Indirect boron diffusion in amorphous silicon
modeled by kinetic Monte Carlo,” Solid-State Electronics, vol. 55, no. 1, pp. 25–28,
2011.
[17] H. Bracht, N. A. Stolwijk, and H. Mehrer, “Equilibrium Concentrations of Intrinsic Point
Defects in Silicon Determined by Zinc Diffusion,” in Proceedings of the Seventh
International Symposium on Silicon Materials Science and Technology
(Semiconductor Silicon), vol. 94-10, San Francisco, CA, USA, pp. 593–602B, May
1994.
[18] I. Martin-Bragado et al., “Physical atomistic kinetic Monte Carlo modeling of
Fermi-level effects on species diffusing in silicon,” Physical Review B, vol. 72,
p. 035202, July 2005.
[19] L. Pelaz et al., “Front-end process modeling in silicon,” The European Physical
Journal B, vol. 72, no. 3, pp. 323–359, 2009.
[20] I. Martin-Bragado, Simulación atomística de procesos para Microelectrónica, PhD
thesis, Universidad de Valladolid, Valladolid, Spain, 2004.
[21] J. Vanhellemont, P. Spiewak, and K. Sueoka, “On the solubility and diffusivity of the
intrinsic point defects in germanium,” Journal of Applied Physics, vol. 101, no. 3,
p. 036103, 2007.
[22] J. Vanhellemont et al., “Intrinsic point defect properties and engineering in silicon and
germanium Czochralski crystal growth,” in 5th International Symposium on Advanced
Science and Technology of Silicon Materials, Kona, HI, USA, November 2008.
[23] P. Spiewak, J. Vanhellemont, and K. J. Kurzydlowski, “Improved calculation of
vacancy properties in Ge using the Heyd-Scuseria-Ernzerhof range-separated hybrid
functional,” Journal of Applied Physics, vol. 110, no. 6, p. 063534, 2011.
[24] I. Martin-Bragado et al., “Fermi-level effects in semiconductor processing: A modeling
scheme for atomistic kinetic Monte Carlo simulators,” Journal of Applied Physics,
vol. 98, no. 5, p. 053709, 2005.
[25] J. Vanhellemont and E. Simoen, “Brother Silicon, Sister Germanium,” Journal of The
Electrochemical Society, vol. 154, no. 7, pp. H572–H583, 2007.
[26] L. Pelaz, L. A. Marqués, and J. Barbolla, “Ion-beam-induced amorphization and
recrystallization in silicon,” Journal of Applied Physics, vol. 96, no. 11, pp. 5947–5976,
2004.
[27] S. M. Sze, Physics of Semiconductor Devices, New York: John Wiley & Sons, 2nd ed.,
1981.

Advanced Calibration for Process Simulation User Guide 272


V-2024.03
Chapter 6: Contents of Advanced Calibration of Sentaurus Process KMC
References

[28] I. Martin-Bragado et al., “From point defects to dislocation loops: A comprehensive


modelling framework for self-interstitial defects in silicon,” Solid-State Electronics,
vol. 52, no. 9, pp. 1430–1436, 2008.
[29] N. E. B. Cowern et al., “Cluster ripening and transient enhanced diffusion in silicon,”
Materials Science in Semiconductor Processing, vol. 2, no. 4, pp. 369–376, 1999.
[30] F. Cristiano et al., “Formation energies and relative stability of perfect and faulted
dislocation loops in silicon,” Journal of Applied Physics, vol. 87, no. 12, pp. 8420–
8428, 2000.
[31] D. P. Hickey et al., “Regrowth-related defect formation and evolution in 1 MeV
amorphized (001) Ge,” Applied Physics Letters, vol. 90, no. 13, p. 132114, 2007.
[32] S. Koffel et al., “End of range defects in Ge,” Journal of Applied Physics, vol. 105,
no. 12, p. 126110, 2009.
[33] E. Napolitani et al., “Transient enhanced diffusion of B mediated by self-interstitials in
preamorphized Ge,” Applied Physics Letters, vol. 96, no. 20, p. 201906, 2010.
[34] F. Panciera et al., “End-of-range defects in germanium and their role in boron
deactivation,” Applied Physics Letters, vol. 97, no. 1, p. 012105, 2010.
[35] S. Boninelli et al., “Role of the Ge surface during the end of range dissolution,” Applied
Physics Letters, vol. 101, no. 16, p. 162103, 2012.
[36] IST Project 027152 ATOMICS, Advanced Front-End Technology Modeling for
Ultimate Integrated Circuits, for more information, go to https://
[Link]/content/dam/iisb2014/en/Documents/Research-Areas/
Simulation/[Link].
[37] A. Chroneos, R. W. Grimes, and H. Bracht, “Fluorine codoping in germanium to
suppress donor diffusion and deactivation,” Journal of Applied Physics, vol. 106,
no. 6, p. 063707, 2009.
[38] R. Kögler et al., “Excess vacancies in high energy ion implanted SiGe,” Journal of
Applied Physics, vol. 101, no. 3, p. 033508, 2007.
[39] G. M. Dalpian et al., “Ab initio calculations of vacancies in SixGe1–x,” Applied Physics
Letters, vol. 81, no. 18, pp. 3383–3385, 2002.
[40] P. Venezuela et al., “Vacancy-mediated diffusion in disordered alloys: Ge
self-diffusion in Si1–xGex,” Physical Review B, vol. 65, no. 19, p. 193306, 2002.
[41] P. Ramanarayanan, K. Cho, and B. M. Clemens, “Effect of composition on vacancy
mediated diffusion in random binary alloys: First principles study of the Si1–xGex
system,” Journal of Applied Physics, vol. 94, no. 1, pp. 174–185, 2003.
[42] M. Haran, J. A. Catherwood, and P. Clancy, “Effects of Ge content on the diffusion of
group-V dopants in SiGe alloys,” Applied Physics Letters, vol. 88, no. 17, p. 173502,
2006.

Advanced Calibration for Process Simulation User Guide 273


V-2024.03
Chapter 6: Contents of Advanced Calibration of Sentaurus Process KMC
References

[43] P. F. Fazzini et al., “Effect of Germanium content and strain on the formation of
extended defects in ion implanted Silicon/Germanium,” Thin Solid Films, vol. 518,
no. 9, pp. 2338–2341, 2010.
[44] R. T. Crosby, Evolution of Self-Interstitials Induced by Ion-Implantation in SiGe Alloys,
PhD thesis, University of Florida, Gainesville, FL, USA, 2005.
[45] A. Belafhaili et al., “Influence of the Germanium content on the amorphization of
silicon–germanium alloys during ion implantation,” Materials Science in
Semiconductor Processing, vol. 16, no. 6, pp. 1655–1658, 2013.
[46] N. Zographos et al., “Multiscale modeling of doping processes in advanced
semiconductor devices,” Materials Science in Semiconductor Processing, vol. 62,
pp. 49–61, May 2017.
[47] K. Y. Suh and H. H. Lee, “Composition dependence of activation energy in solid phase
epitaxial growth of Si1–xGex alloys,” Journal of Applied Physics, vol. 80, no. 12,
pp. 6716–6719, 1996.
[48] T. E. Haynes et al., “Composition dependence of solid-phase epitaxy in
silicon-germanium alloys: Experiment and theory,” Physical Review B, vol. 51, no. 12,
pp. 7762–7772, 1995.
[49] R. Braunstein, A. R. Moore, and F. Herman, “Intrinsic Optical Absorption in
Germanium-Silicon Alloys,” Physical Review, vol. 109, no. 3, pp. 695–710, 1958.
[50] P. Castrillo et al., “Physical modeling and implementation scheme of native defect
diffusion and interdiffusion in SiGe heterostructures for atomistic process simulation,”
Journal of Applied Physics, vol. 109, p. 103502, May 2011.
[51] P. Castrillo et al., “Atomistic Modeling of Defect Diffusion in SiGe,” in International
Conference on Simulation of Semiconductor Processes and Devices (SISPAD),
Vienna, Austria, pp. 9–12, September 2007.
[52] P. Castrillo et al., “Atomistic modeling of defect diffusion and interdiffusion in SiGe
heterostructures,” Thin Solid Films, vol. 518, no. 9, pp. 2448–2453, 2010.
[53] R. Kube et al., “Composition dependence of Si and Ge diffusion in relaxed Si1–xGex
alloys,” Journal of Applied Physics, vol. 107, no. 7, p. 073520, 2010.
[54] Y. Dong et al., “A unified interdiffusivity model and model verification for tensile and
relaxed SiGe interdiffusion over the full germanium content range,” Journal of Applied
Physics, vol. 111, no. 4, p. 044909, 2012.
[55] G. Xia et al., “Strain dependence of Si–Ge interdiffusion in epitaxial Si/Si1–yGey/Si
heterostructures on relaxed Si1–xGex substrates,” Applied Physics Letters, vol. 88,
no. 1, p. 013507, 2006.
[56] G. Xia, J. L. Hoyt, and M. Canonico, “Si-Ge interdiffusion in strained Si/strained SiGe
heterostructures and implications for enhanced mobility metal-oxide-semiconductor
field-effect transistors,” Journal of Applied Physics, vol. 101, p. 044901, February
2007.

Advanced Calibration for Process Simulation User Guide 274


V-2024.03
Chapter 6: Contents of Advanced Calibration of Sentaurus Process KMC
References

[57] N. E. B. Cowern et al., “Diffusion in Strained Si(Ge),” Physical Review Letters, vol. 72,
no. 16, pp. 2585–2588, 1994.
[58] H. H. Silvestri et al., “Diffusion of silicon in crystalline germanium,” Semiconductor
Science and Technology, vol. 21, no. 6, p. 758, 2006.
[59] M. Vos et al., “Channeling studies of implantation damage in SiGe superlattices and
SiGe alloys,” Nuclear Instruments and Methods in Physics Research B, vol. 66, no. 3,
pp. 361–368, 1992.
[60] B. Sklenard et al., “Atomistic investigation of the impact of stress during solid phase
epitaxial regrowth,” Physica Status Solidi C, vol. 11, no. 1, pp. 97–100, 2014.
[61] M. Diebel and S. T. Dunham, “Ab-initio Calculations to Predict Stress Effects on
Defects and Diffusion in Silicon,” in International Conference on Simulation of
Semiconductor Processes and Devices (SISPAD), Boston, MA, USA, pp. 147–150,
September 2003.
[62] M. Diebel, Application of Ab-initio Calculations to Modeling of Nanoscale Diffusion
and Activation in Silicon, PhD thesis, University of Washington, Seattle, WA, USA,
2004.
[63] Y. Kawamura et al., “Self-diffusion in compressively strained Ge,” Journal of Applied
Physics, vol. 110, p. 034906, August 2011.
[64] V. Moroz et al., “Dissolution of extended defects in strained silicon,” Journal of
Vacuum Science & Technology B, vol. 26, no. 1, pp. 439–442, 2008.
[65] P. Pichler, Intrinsic Point Defects, Impurities, and Their Diffusion in Silicon,
Computational Microelectronics, Vienna: Springer, 2004.
[66] J. Zhu et al., “Ab initio pseudopotential calculations of B diffusion and pairing in Si,”
Physical Review B, vol. 54, no. 7, p. 4741–4747, 1996.
[67] J. S. Nelson, P. A. Schultz, and A. F. Wright, “Valence and atomic size dependent
exchange barriers in vacancy-mediated dopant diffusion,” Applied Physics Letters,
vol. 73, no. 2, pp. 247–249, 1998.
[68] V. C. Venezia et al., “Boron diffusion in amorphous silicon,” Materials Science and
Engineering B, vol. 124–125, pp. 245–248, December 2005.
[69] R. Duffy et al., “Dopant diffusion in amorphous silicon,” in MRS Symposium
Proceedings, Silicon Front-End Junction Formation—Physics and Technology, San
Francisco, CA, USA, vol. 810, pp. C10.2.1–C10.2.6, April 2004.
[70] S. Uppal et al., “Diffusion of boron in germanium at 800–900°C,” Journal of Applied
Physics, vol. 96, no. 3, pp. 1376–1380, 2004.
[71] I. Martin-Bragado, N. Zographos, and P. Castrillo, “Atomistic modelling and simulation
of arsenic diffusion including mobile arsenic clusters,” Physica Status Solidi A,
vol. 211, no. 1, pp. 147–151, 2014.

Advanced Calibration for Process Simulation User Guide 275


V-2024.03
Chapter 6: Contents of Advanced Calibration of Sentaurus Process KMC
References

[72] B. Sahli et al., “Ab initio calculations of phosphorus and arsenic clustering parameters
for the improvement of process simulation models,” Materials Science and
Engineering B, vol. 154-155, pp. 193–197, December 2008.
[73] D. C. Mueller, E. Alonso, and W. Fichtner, “Arsenic deactivation in Si: Electronic
structure and charge states in vacancy-impurity clusters,” Physical Review B, vol. 68,
no. 4, p. 045208, 2003.
[74] A. N. Larsen et al., “Heavy doping effects in the diffusion of group IV and V impurities
in silicon,” Journal of Applied Physics, vol. 73, no. 2, pp. 691–698, 1993.
[75] N. Zographos and A. Erlebach, “Process simulation of dopant diffusion and activation
in germanium,” Physica Status Solidi A, vol. 211, no. 1, pp. 143–146, 2014.
[76] A. Chroneos, “Dopant-defect interactions in Ge: Density functional theory
calculations,” Materials Science in Semiconductor Processing, vol. 15, no. 6,
pp. 691–696, 2012.
[77] A. Chroneos et al., “Engineering the free vacancy and active donor concentrations in
phosphorus and arsenic double donor-doped germanium,” Journal of Applied
Physics, vol. 104, no. 11, p. 113724, 2008.
[78] N. Zographos and I. Martin-Bragado, “Atomistic Modeling of Carbon Co-Implants and
Rapid Thermal Anneals in Silicon,” in 15th IEEE International Conference on
Advanced Thermal Processing of Semiconductors (RTP), Catania, Italy, pp. 119–122,
October 2007.
[79] M. Diebel and S. T. Dunham, “Ab Initio Calculations to Model Anomalous Fluorine
Behavior,” Physical Review Letters, vol. 93, no. 24, p. 245901, 2004.
[80] M. Diebel and S. T. Dunham, “Reply to Ab Initio Calculations to Model Anomalous
Fluorine Behavior,” Physical Review Letters, vol. 96, p. 039602, January 2006.
[81] K. Vollenweider et al., “Fluorine clustering and diffusion in silicon: Ab initio
calculations and kinetic Monte Carlo model,” Journal of Vacuum Science &
Technology B, vol. 28, no. 1, pp. C1G1–C1G6, 2010.
[82] G. R. Nash et al., “Activation energy for fluorine transport in amorphous silicon,”
Applied Physics Letters, vol. 75, no. 23, pp. 3671–3673, 1999.
[83] P. A. Schultz and J. S. Nelson, “Fast through-bond diffusion of nitrogen in silicon,”
Applied Physics Letters, vol. 78, no. 6, pp. 736–738, 2001.
[84] N. Stoddard et al., “Ab Initio Identification of the Nitrogen Diffusion Mechanism in
Silicon,” Physical Review Letters, vol. 95, no. 2, p. 025901, 2005.
[85] L. S. Adam et al., “Physical integrated diffusion-oxidation model for implanted
nitrogen in silicon,” Journal of Applied Physics, vol. 91, no. 4, pp. 1894–1900, 2002.
[86] L. Pelaz et al., “B diffusion and clustering in ion implanted Si: The role of B cluster
precursors,” Applied Physics Letters, vol. 70, no. 17, pp. 2285–2287, 1997.

Advanced Calibration for Process Simulation User Guide 276


V-2024.03
Chapter 6: Contents of Advanced Calibration of Sentaurus Process KMC
References

[87] C. Zechner et al., “Simulation of dopant diffusion and activation during flash lamp
annealing,” Materials Science and Engineering B, vol. 154-155, pp. 20–23, December
2008.
[88] M. Aboy et al., “Kinetics of large B clusters in crystalline and preamorphized silicon,”
Journal of Applied Physics, vol. 110, no. 7, p. 073524, 2011.
[89] J. Xia et al., “Boron segregation to extended defects induced by self-ion implantation
into silicon,” Journal of Applied Physics, vol. 85, no. 11, pp. 7597–7603, 1999.
[90] A. Satta et al., “Diffusion, activation, and recrystallization of boron implanted in
preamorphized and crystalline germanium,” Applied Physics Letters, vol. 87, no.17,
p. 172109, 2005.
[91] B. R. Yates et al., “Anomalous activation of shallow B+ implants in Ge,” Materials
Letters, vol. 65, no. 23–24, pp. 3540–3543, 2011.
[92] S. A. Harrison, T. F. Edgar, and G. S. Hwang, “Interstitial-Mediated Arsenic Clustering
in Ultrashallow Junction Formation,” Electrochemical and Solid-State Letters, vol. 9,
no. 12, pp. G354–G357, 2006.
[93] R. Pinacho et al., “Modeling arsenic deactivation through arsenic-vacancy clusters
using an atomistic kinetic Monte Carlo approach,” Applied Physics Letters, vol. 86,
p. 252103, June 2005.
[94] J. Xie and S. P. Chen, “Diffusion and Clustering in Heavily Arsenic-Doped Silicon:
Discrepancies and Explanation,” Physical Review Letters, vol. 83, no. 9, pp. 1795–
1798, 1999.
[95] Y. Park et al., “Dopant diffusion in Si, SiGe and Ge: TCAD model parameters
determined with density functional theory,” in IEDM Technical Digest, San Francisco,
CA, USA, pp. 784–787, December 2017.
[96] T. Noda, S. Odanaka, and H. Umimoto, “Effects of end-of-range dislocation loops on
transient enhanced diffusion of indium implanted in silicon,” Journal of Applied
Physics, vol. 88, no. 9, pp. 4980–4984, 2000.
[97] C.-L. Liu et al., “Ab initio modeling and experimental study of C–B interactions in Si,”
Applied Physics Letters, vol. 80, no. 1, pp. 52–54, 2002.
[98] Y. Shimizu et al., “Impact of carbon coimplantation on boron behavior in silicon:
Carbon–boron coclustering and suppression of boron diffusion,” Applied Physics
Letters, vol. 98, no. 23, p. 232101, 2011.
[99] Y.-S. Oh and D. E. Ward, “A Calibrated Model for Trapping of Implanted Dopants at
Material Interface During Thermal Annealing,” in IEDM Technical Digest, San
Francisco, CA, USA, pp. 509–512, December 1998.
[100] V. C. Venezia et al., “Dopant redistribution effects in preamorphized silicon during low
temperature annealing,” in IEDM Technical Digest, Washington, DC, USA, pp. 489–
492, December 2003.

Advanced Calibration for Process Simulation User Guide 277


V-2024.03
Chapter 6: Contents of Advanced Calibration of Sentaurus Process KMC
References

[101] S. H. Jain et al., “Metastable boron active concentrations in Si using flash assisted
solid phase epitaxy,” Journal of Applied Physics, vol. 96, no. 12, pp. 7357–7360,
2004.
[102] D. De Salvador et al., “Experimental evidence of B clustering in amorphous Si during
ultrashallow junction formation,” Applied Physics Letters, vol. 89, p. 241901,
December 2006.
[103] C. Zechner, D. Matveev, and A. Erlebach, “Phase-field model for the dopant
redistribution during solid phase epitaxial regrowth of amorphized silicon,” Materials
Science and Engineering B, vol. 114–115, pp. 162–165, 2004.
[104] O. Dokumaci et al., “Transient Enhanced Diffusion and Dose Loss of Indium in
Silicon,” in MRS Symposium Proceedings, Si Front-End Processing—Physics and
Technology of Dopant-Defect Interactions, San Francisco, CA, USA, vol. 568,
pp. 205–210, April 1999.
[105] R. Duffy et al., “Influence of preamorphization and recrystallization on indium doping
profiles in silicon,” Journal of Vacuum Science & Technology B, vol. 22, no. 3,
pp. 865–868, 2004.
[106] G. Impellizzeri et al., “Fluorine in preamorphized Si: Point defect engineering and
control of dopant diffusion,” Journal of Applied Physics, vol. 99, p. 103510, May 2006.
[107] Z. Ye et al., “High Tensile Strained In-Situ Phosphorus Doped Silicon Epitaxial Film
for nMOS Applications,” ECS Transactions, vol. 50, no. 9, pp. 1007–1011, 2013.
[108] X. Li et al., “Selective Epitaxial Si:P Film for nMOSFET Application: High
Phosphorous Concentration and High Tensile Strain,” ECS Transactions, vol. 64,
no. 6, pp. 959–965, 2014.
[109] S. K. Dhayalan et al., “On the Evolution of Strain and Electrical Properties in
As-Grown and Annealed Si:P Epitaxial Films for Source-Drain Stressor Applications,”
ECS Journal of Solid State Science and Technology, vol. 7, no. 5, pp. P228–P237,
2018.
[110] C. Ahn, Atomic scale modeling of stress and pairing effects on dopant behavior in
silicon, PhD thesis, University of Washington, Seattle, WA, USA, 2007.
[111] R. F. Lever, J. M. Bonar, and A. F. W. Willoughby, “Boron diffusion across silicon–
silicon germanium boundaries,” Journal of Applied Physics, vol. 83, no. 4, pp. 1988–
1994, 1998.
[112] L. A. Edelman et al., “Boron diffusion in amorphous silicon-germanium alloys,”
Applied Physics Letters, vol. 92, no. 17, p. 172108, 2008.
[113] P. Laitinen, Self- and Impurity Diffusion in Intrinsic Relaxed Silicon - Germanium, PhD
thesis, University of Jyväskylä, Finland, January 2004.
[114] S. Eguchi et al., “Comparison of arsenic and phosphorus diffusion behavior in silicon–
germanium alloys,” Applied Physics Letters, vol. 80, no. 10, pp. 1743–1745, 2002.

Advanced Calibration for Process Simulation User Guide 278


V-2024.03
Chapter 6: Contents of Advanced Calibration of Sentaurus Process KMC
References

[115] Y.-M. Sheu et al., “Modeling Dopant Diffusion in Strained and Strain-Relaxed
Epi-SiGe,” in International Conference on Simulation of Semiconductor Process and
Devices (SISPAD), Tokyo, Japan, pp. 75–78, September 2005.
[116] N. Zangenberg, Defect and Diffusion Studies in Si and SiGe, PhD thesis, University
of Aarhus, Denmark, January 2003.
[117] P. Kuo et al., “Boron Diffusion in Si and Si1–xGex,” in MRS Symposium Proceedings,
Strained Layer Epitaxy - Materials, Processing, and Device Applications, vol. 379,
pp. 373–378, 1995.
[118] S. Uppal et al., “Diffusion of Boron in Germanium and Si1–xGex (x>50%) alloys,” in
MRS Symposium Proceedings, CMOS Front-End Materials and Process Technology,
vol. 765, p. D6.16.1, January 2003.
[119] C. C. Wang et al., “Boron diffusion in strained and strain-relaxed SiGe,” Materials
Science and Engineering B, vol. 124–125, pp. 39–44, December 2005.
[120] J. S. Christensen et al., “Diffusion of phosphorus in relaxed Si1–xGex films and
strained Si/Si1–xGex heterostructures,” Journal of Applied Physics, vol. 94, no. 10,
pp. 6533–6540, 2003.
[121] I. Martin-Bragado et al., “Anisotropic dopant diffusion in Si under stress using both
continuum and atomistic methods,” Journal of Computational Electronics, vol. 7,
no. 3, pp. 103–106, 2008.
[122] C. Ahn et al., “Stress effects on impurity solubility in crystalline materials: A general
model and density-functional calculations for dopants in silicon,” Physical Review B,
vol. 79, no. 7, p. 073201, 2009.
[123] L. Lin et al., “Boron diffusion in strained Si: A first-principles study,” Journal of Applied
Physics, vol. 96, no. 10, pp. 5543–5547, 2004.
[124] S. T. Dunham et al., “Calculations of effect of anisotropic stress/strain on dopant
diffusion in silicon under equilibrium and nonequilibrium conditions,” Journal of
Vacuum Science & Technology B, vol. 24, no. 1, pp. 456–461, 2006.
[125] N. Sugii et al., “Recrystallization, redistribution, and electrical activation of
strained-silicon/Si0.7Ge0.3 heterostructures with implanted arsenic,” Journal of
Applied Physics, vol. 96, no. 1, pp. 261–268, 2004.
[126] I. Martin-Bragado and V. Moroz, “Modeling of {311} facets using a lattice kinetic Monte
Carlo three-dimensional model for selective epitaxial growth of silicon,” Applied
Physics Letters, vol. 98, no. 15, p. 153111, 2011.
[127] P. M. Garone et al., “Silicon vapor phase epitaxial growth catalysis by the presence of
germane,” Applied Physics Letters, vol. 56, no. 13, pp. 1275–1277, 1990.
[128] M. Liehr et al., “Kinetics of silicon epitaxy using SiH4 in a rapid thermal chemical vapor
deposition reactor,” Applied Physics Letters, vol. 56, no. 7, pp. 629–631, 1990.
[129] H.-C. Tseng et al., “Effects of isolation materials on facet formation for silicon selective
epitaxial growth,” Applied Physics Letters, vol. 71, no. 16, pp. 2328–2330, 1997.

Advanced Calibration for Process Simulation User Guide 279


V-2024.03
Chapter 6: Contents of Advanced Calibration of Sentaurus Process KMC
References

[130] R. Chen et al., “A New Kinetic Lattice Monte Carlo Modeling Framework for the
Source-Drain Selective Epitaxial Growth Process,” in International Conference on
Simulation of Semiconductor Processes and Devices (SISPAD), Glasgow, Scotland,
pp. 9–12, September 2013.
[131] D. Dutartre, A. Talbot, and N. Loubet, “Facet Propagation in Si and SiGe Epitaxy or
Etching,” ECS Transactions, vol. 3, no. 7, pp. 473–487, 2006.
[132] J. M. Hartmann et al., “Growth kinetics of Si and SiGe on Si(100), Si(110) and
Si(111) surfaces,” Journal of Crystal Growth, vol. 294, no. 2, pp. 288–295, 2006.
[133] J. M. Hartmann et al., “SiGe growth kinetics and doping in reduced pressure-chemical
vapor deposition,” Journal of Crystal Growth, vol. 236, no. 1–3, pp. 10–20, 2002.
[134] J. M. Hartmann et al., “A benchmarking of silane, disilane and dichlorosilane for the
low temperature growth of group IV layers,” Thin Solid Films, vol. 520 no. 8, pp. 3185–
3189, 2012.
[135] J. M. Hartmann et al., “Potentialities of disilane for the low temperature epitaxy of
intrinsic and boron-doped SiGe,” Thin Solid Films, vol. 557, pp. 19–26, April 2014.
[136] P. D. Agnello, T. O. Sedgwick, and J. Cotte, “Growth Rate Enhancement of Heavy n-
and p-Type Doped Silicon Deposited by Atmospheric-Pressure Chemical Vapor
Deposition at Low Temperatures,” Journal of the Electrochemical Society, vol. 140,
no. 9, pp. 2703–2709, 1993.
[137] M. Schindler et al., “Selective epitaxial growth of Arsenic-doped SiGe-structures with
LPCVD,” ECS Transactions, vol. 1, no. 30, pp. 33–40, 2006.
[138] Y. Bogumilowicz et al., “High-temperature growth of very high germanium content
SiGe virtual substrates,” Journal of Crystal Growth, vol. 290, no. 2, pp. 523–531,
2006.
[139] J. M. Hartmann et al., “Disilane-based cyclic deposition/etch of Si, Si:P and Si1–yCy:P
layers: I. The elementary process steps,” Semiconductor Science and Technology,
vol. 28, no. 2, p. 025017, 2013.
[140] S. Fukatsu et al., “Self-limitation in the surface segregation of Ge atoms during Si
molecular beam epitaxial growth,” Applied Physics Letters, vol. 59, no. 17, pp. 2103–
2105, 1991.
[141] J. Aubin et al., “Very low temperature epitaxy of Ge and Ge rich SiGe alloys with
Ge2H6 in a Reduced Pressure – Chemical Vapour Deposition tool,” Journal of Crystal
Growth, vol. 445, pp. 65–72, July 2016.
[142] J. M. Hartmann, “Low temperature growth kinetics of high Ge content SiGe in reduced
pressure-chemical vapor deposition,” Journal of Crystal Growth, vol. 305, no. 1,
pp. 113–121, 2007.
[143] J. M. Hartmann et al., “Reduced Pressure–Chemical Vapour Deposition of Si/SiGe
heterostructures for nanoelectronics,” Materials Science and Engineering B,
vol. 154–155, pp. 76–84, December 2008.

Advanced Calibration for Process Simulation User Guide 280


V-2024.03
Chapter 6: Contents of Advanced Calibration of Sentaurus Process KMC
References

[144] J. M. Hartmann et al., “Growth kinetics and boron doping of very high Ge content SiGe
for source/drain engineering,” Journal of Crystal Growth, vol. 310, no. 1, pp. 62–70,
2008.
[145] J. M. Hartmann et al., “HCl selective etching of SiGe versus Si in stacks grown on
(110),” ECS Transactions, vol. 33, no. 6, pp. 985–993, 2010.
[146] N. Loubet, A. Talbot, and D. Dutartre, “Silicon Etching Study in a RT-CVD Reactor with
the HCl/H2 Gas Mixture,” in MRS Symposium Proceedings, vol. 910, January 2006.
[147] V. Destefanis et al., “Low-thermal surface preparation, HCl etch and Si/SiGe selective
epitaxy on (1 1 0) silicon surfaces,” Semiconductor Science and Technology, vol. 23,
no. 10, p. 105018, 2008.
[148] Y. Bogumilowicz et al., “Chemical vapour etching of Si, SiGe and Ge with HCl;
applications to the formation of thin relaxed SiGe buffers and to the revelation of
threading dislocations,” Semiconductor Science and Technology, vol. 20, no. 2,
pp.127–134, 2005.

Advanced Calibration for Process Simulation User Guide 281


V-2024.03
7
Guidelines for Additional Calibration
7

This chapter provides guidelines for additional calibration.

The Advanced Calibration file is the recommended starting point for accurate process
simulation with Sentaurus Process Kinetic Monte Carlo (Sentaurus Process KMC).
However, Advanced Calibration cannot fully replace an additional calibration by users. With
a customized process calibration, the accuracy can always be further increased for any
technology of interest. A customized calibration of process and device simulation models
needs to be performed by users or can be requested from Synopsys in the context of a
customer service project.
To further improve the Advanced Calibration, Synopsys appreciates feedback from
customers regarding the accuracy obtained with the parameter files for different process
conditions, and suggestions for improved models or parameter values.

Accuracy and Limitations of Advanced Calibration of Sentaurus


Process KMC
The Advanced Calibration is based on scientific literature of process simulation models and
on a continual calibration effort based on the Synopsys collection of SIMS profiles from
state-of-the-art device manufacturing technology. A good agreement is obtained for a wide
range of SIMS data. However, in some cases, there is a significant mismatch between
kinetic Monte Carlo simulation results obtained with Advanced Calibration and the
experimental data, for similar reasons as for continuum simulations listed in Accuracy and
Limitations of Advanced Calibration of Sentaurus Process on page 139.
In this section, the accuracy of the Advanced Calibration for Sentaurus Process KMC is
discussed. In particular, it will explain for which process conditions the accuracy is limited
and which parameters can be fine-tuned by users to increase the accuracy in a process
window of interest.

Advanced Calibration for Process Simulation User Guide 282


V-2024.03
Chapter 7: Guidelines for Additional Calibration
Accuracy and Limitations of Advanced Calibration of Sentaurus Process KMC

Damage and Point Defects


This section discusses damage and point defects.

Amorphization
The calibration of amorphization and recrystallization in silicon follows Zographos and
Martin-Bragado [1]. In Figure 39, the accuracy of the damage accumulation during
implantation is shown by the comparison of literature data with simulated
amorphization-layer thicknesses generated by germanium implantation.

Figure 39 Amorphous layer thickness versus Ge implantation energy, dose 1×1015 cm–2:
comparison of experiments (squares) and simulations (solid lines) at dose rate of
5×1012 cm–2 s–1
Experiments
Sentaurus MC
80
Crystal−TRIM
Amorphous Layer Thickness [nm]

60

40

20

0
0 20 40 60
Implantation Energy [keV]

Obviously, the implantation dose rate as well as the implantation temperature strongly
influence the amorphization and need to be considered by users.
Note:
The default implantation dose rate is 1×1012 cm–2 s–1 and is not changed within
Advanced Calibration because it is considered to be a process parameter.

Advanced Calibration for Process Simulation User Guide 283


V-2024.03
Chapter 7: Guidelines for Additional Calibration
Accuracy and Limitations of Advanced Calibration of Sentaurus Process KMC

To adjust the dose rate, define the [Link] argument of the implant command or
redefine the following procedure before the implant command:
proc DoseRate { dose } {
set refDose 5e13 ; # dose rate of 5e13 cm-2 s-1
expr $dose/$refDose
}

If the dose rate and temperature are not known exactly, they can be used as fitting
parameters to adjust the damage and amorphization-layer thicknesses of implantations.

Germanium Preamorphization
In the case of germanium preamorphization implantation, the as-implanted germanium
profiles for Sentaurus MC implantation are often slightly shallower than corresponding SIMS
profiles and, therefore, the amorphization-layer thickness can also be slightly shallower.
The lower amorphization can be compensated partly by lowering the displacement
threshold for the damage generation of Sentaurus MC implantation from 15 eV to 13 eV.
However, the as-implanted germanium profiles for Crystal-TRIM implantation are, in
general, slightly deeper and more accurate compared to SIMS and, therefore, could be used
as an alternative. The difference between the amorphous-layer thicknesses for the two
different Monte Carlo implant engines can be seen in Figure 39.

Cold and Hot Implantation


The damage accumulation has been calibrated on data of different implantation dose rates
and temperature [1]. Therefore, the trends for implantation temperature dependency should
be modeled accurately, for example, the increased amorphization during implantation at
cold temperatures (lower than room temperature) [2], the decreased amorphization [3], and
enhanced channeling during implantation at hot temperatures [4].
If the amorphization is underestimated, the displacement threshold for the damage
generation of Sentaurus MC implantation can be decreased:
pdbSet Si B [Link] 670

If the implant channeling is overestimated, the proportional factor for the switching
probability from the crystalline to the amorphous model can be increased:
pdbSet Si B [Link] 4.0

Recrystallization
While the recrystallization velocity for an undoped amorphous–silicon layer on top of
(100)-oriented crystalline silicon is well known [5] and might not require any changes, its
enhancement in the presence of n-type and p-type doping is less certain [6][7]. Therefore,
the corresponding silicon damage parameters [Link] and [Link]
can be used as fitting parameters. Since the diffusivities of dopants in crystallized and

Advanced Calibration for Process Simulation User Guide 284


V-2024.03
Chapter 7: Guidelines for Additional Calibration
Accuracy and Limitations of Advanced Calibration of Sentaurus Process KMC

amorphous silicon are usually very different, the recrystallization velocity can influence the
overall diffusion.
It is also known that the recrystallization depends on substrate orientation; however, by
default, the recrystallization velocity in Advanced Calibration for Sentaurus Process KMC is
independent of it. To activate the substrate orientation dependency, you should select:
pdbSet KMC Si Damage [Link] LKMC

Diffusion, Generation, and Recombination


The parameter values for the equilibrium concentration and diffusivity of point defects have
been inherited from continuum Advanced Calibration and have been chosen as a careful
compromise between various suggestions in recent publications (see Point Defects on
page 140). The I–V pair recombination rate is part of the amorphization calibration (see
Amorphization on page 283).
Changing any of these parameters might affect the diffusion and activation behavior of
several dopants. Therefore, for the purpose of improving the accuracy of diffusion of one
dopant, it is not recommended to change point-defect parameters, due to the possible
unwanted effects on other dopants.

Extended Defects
Like the advanced TED model in the Advanced Calibration of continuum Sentaurus Process
(see Interstitial Clusters on page 97), the comprehensive KMC model for extended defects
of self-interstitials in silicon [8] describes accurately the initial phase of ultrahigh interstitial
supersaturation after ion implantation, which was reported in [9] and is ascribed to the
formation and dissolution of small interstitial clusters (see Figure 40).
The binding energies of larger cluster sizes have been calibrated from transmission electron
microscope (TEM) data on the dissolution of {311} defects published by Stolk et al. [10] and
Saleh et al. [11].
The calibration of the transformation rate from {311} defects to dislocation loops is based on
data from the literature [12][13][14][15]. Due to low dislocation loop statistics in KMC, this
calibration is difficult and not as accurate as for {311} dissolution. Therefore, the following
parameters can be used as fitting parameters:
pdbSet KMC Si Int [Link] 4500
pdbSet KMC Si Int [Link] 0.0

The parameters for voids have been calibrated on a limited experimental dataset only [16]
and, therefore, are subject to additional calibration in the case of vacancy engineering
implantation.

Advanced Calibration for Process Simulation User Guide 285


V-2024.03
Chapter 7: Guidelines for Additional Calibration
Accuracy and Limitations of Advanced Calibration of Sentaurus Process KMC

Figure 40 Self-interstitial supersaturation evolution: comparison of experiments (squares) and


simulations (solid lines) for anneals at 600°C (red), 700°C (green), and 800°C (blue)
(experimental data from [9])
108

107
Interstitial Supersaturation

106

105

104

103

102

101
100 101 102 103 104 105
Time [s]

Impurities
This section discusses impurities.

Diffusion
In general, the diffusivities are inherited from Advanced Calibration of continuum Sentaurus
Process and are based on the literature and SIMS calibration. For details about the
translation of the diffusivities to the migration and binding parameters for Sentaurus Process
KMC, see Diffusion on page 242.
For fine-tuning of the diffusivity, the binding, migration, and ionization energies of dopant–
point defect pairs can be adjusted slightly. The influence of the binding, migration, and
ionization energies is shown in Figure 41.
The higher the binding energy, the more stable is the mobile pair and, therefore, the dopant
encounters more diffusion. In contrast, the higher the migration barrier, the less the pair is
mobile and, therefore, the dopant diffuses less.
A lower ionization level of a charge state allows that charge state to be more populated and,
therefore, to have more influence on the overall diffusion. If the different charge states have
different migration rates, changing the ionization levels results in a different overall migration
rate.

Advanced Calibration for Process Simulation User Guide 286


V-2024.03
Chapter 7: Guidelines for Additional Calibration
Accuracy and Limitations of Advanced Calibration of Sentaurus Process KMC

Note:
Changing the binding energy and ionization levels of pairs also changes the
impurity cluster formation, in particular, for reactions where the pair is involved.
Since the binding energies of clusters are computed using the potential energies

of the reactant, the potential energy of the pair (given by – E b  A i  – e  – , 0   A i 
for negative substitutional A ) also influences the cluster stability. In addition, the
migration barrier of the pair is involved in the emission of pairs from impurity
clusters.

Figure 41 The influence of the binding (left), migration (middle), and ionization (right) energies
on boron diffusion in silicon; process conditions are B 1e15, 0.5 keV implantation
followed by 1050°C spike anneal

1021 1021 1021

1020 1020 1020


Eb+0.2 eV Em−0.2 eV
Concentration [cm−3]
Concentration [cm−3]

Concentration [cm−3]
e0+0.2 eV
1019 1019 1019
Eb Em e0

1018 1018 1018


Eb−0.2 eV Em+0.2 eV e0−0.2 eV

1017 1017 1017

1016 1016 1016


0 50 100 0 50 100 0 50 100
Depth [nm] Depth [nm] Depth [nm]

Antimony
Advanced Calibration for Sentaurus Process KMC includes no parameters for antimony
diffusion because the default parameters are based already on the translation of the
continuum Advanced Calibration parameters and are verified against SIMS data.

Co-Diffusion of Arsenic and Phosphorus


As elaborated in Co-diffusion of Arsenic and Phosphorus on page 163, the physics of the
co-diffusion of arsenic and phosphorus in high concentration is very complex for several
reasons. In general, Advanced Calibration for Sentaurus Process KMC underestimates the
diffusion of arsenic in the presence of phosphorus at high concentrations. One reason for
this might be the lack of an arsenic percolation-type diffusion model.

Diffusion in Amorphous Silicon


In Advanced Calibration for Sentaurus Process KMC, boron and fluorine are the only
diffusing species in amorphous silicon. There is no evidence for significant diffusion of other
species [17].
The boron diffusion, in combination with clustering, in amorphous silicon was calibrated [18]
using literature data on completely amorphized SOI structures [19][20] and deeply

Advanced Calibration for Process Simulation User Guide 287


V-2024.03
Chapter 7: Guidelines for Additional Calibration
Accuracy and Limitations of Advanced Calibration of Sentaurus Process KMC

preamorphized bulk silicon structures [17]. The calibrated parameters for diffusion and
clustering mediated by dangling bonds allow for good general agreement with experiments.
The transient behavior of boron diffusion is well reproduced by the annihilation of dangling
and floating bonds.
The coefficient for dangling bond creation per B atom in amorphous silicon is set to 0.5 in
Advanced Calibration to obtain good accuracy with SIMS for boron implantation into
amorphous silicon [19]. However, for amorphization of boron marker layers, a coefficient of
1.0 gives better accuracy, as reported in [18][20]. Therefore, the parameter:
pdbSet KMC aSi B gamma 1.0

can be used as a fitting parameter, similar to the ifactor for ion implantation in continuum
process simulation.

Activation
The responsible mechanism for deactivation/activation is the clustering/declustering of
dopant–defect clusters. In Sentaurus Process KMC, the parameters for the dopant–defect
cluster model are the potential energies, the emission prefactors, and the capture volumes.
For recalibration, the most recommended fitting parameter is the emission prefactor
[Link] for dopant–defect pairs, while the one for point defects should not be changed
for reasons of microscopic reversability.
Decreasing the emission prefactor results in decreasing the declustering rate, making the
clusters more stable. Moreover, the solubility of impurities is governed by the potential
energies of the clusters. Since most dopants can form several different cluster types, the
potential energies of more than one cluster can influence the overall deactivation/activation.
Therefore, the best way to tune the solid solubility of dopants is either to apply an overall
shift for all cluster energies or to change the potential energy of the most stable clusters. The
influence of the emission prefactor, the potential energies of all clusters, and the potential
energy of the most stable cluster is illustrated in Figure 42.
Finally, the capture volumes, which are specified relative to the substitutional dopant, are
based on realistic guesses and can be changed only slightly.

Advanced Calibration for Process Simulation User Guide 288


V-2024.03
Chapter 7: Guidelines for Additional Calibration
Accuracy and Limitations of Advanced Calibration of Sentaurus Process KMC

Figure 42 The influence of the BI emission prefactor (left), BIC potential energies (middle),
and B3I potential energy (right) on boron diffusion; process conditions are B 1e15,
0.5 keV implantation into silicon followed by 1050°C spike anneal

1021 1021 1021

1020 1020 1020


Concentration [cm−3]

Concentration [cm−3]

Concentration [cm−3]
2*D0 Epot+0.5 eV E(B3I)+0.5 eV
1019 1019 1019
0.5*D0

Epot−0.5 eV
1018 1018 1018
E(B3I)−0.5 eV

1017 1017 1017

1016 1016 1016


0 50 100 0 50 100 0 50 100
Depth [nm] Depth [nm] Depth [nm]

Segregation at End-of-Range Defects


Segregation of impurities to end-of-range defects is modeled by an interaction of the mobile
impurity–interstitial pairs with extended defects such as {311} defects and dislocation loops.
The pair breaks up and the interstitial is incorporated into the extended defect, while the
impurity is regarded as substitutional. Obviously, the location of the impurity segregation
depends on the end-of-range location, which depends on the amorphization-layer thickness
generated by amorphizing implantation.
For carbon, this model works reasonably accurately [21]. However, in the case of indium, the
segregation effect seems to be stronger [22] and can be modeled by selecting the following
model:
pdbSet KMC Si In [Link] 1
pdbSet KMC Si In [Link] 1

In this case, the neutral pair does not break up, but it is attached to the extended defect and
stays attached until the dissolution of the extended defect.

Boron Interaction With Fluorine


It is known that the presence of fluorine can reduce diffusion and enhance the activation of
boron. In Advanced Calibration, no direct interaction between boron and fluorine is
assumed, as suggested by [23]. However, direct boron–fluorine interaction has been
proposed by others [24]. Therefore, similar to boron–carbon clusters as proposed by [25]
and described in Boron and Carbon on page 255, you can study the direct interaction of
boron with fluorine.

Advanced Calibration for Process Simulation User Guide 289


V-2024.03
Chapter 7: Guidelines for Additional Calibration
Accuracy and Limitations of Advanced Calibration of Sentaurus Process KMC

To add fluorine–boron–interstitial clusters, for example BFI and BF, you must define the
allowed reactions:
pdbSet KMC Si B ReactionsPointDefect Bi,F true
pdbSet KMC Si F ReactionsPointDefect Fi,B true
pdbSet KMC Si B ReactionsCluster BF,I true
pdbSet KMC Si B ReactionsCluster BFI,V true

and specify the corresponding binding energy, capture volume, and emission prefactors:
pdbSet KMC Si B [Link] BF -0.7
pdbSet KMC Si B [Link] BFI -5.6
pdbSet KMC Si B [Link] BF 1.3
pdbSet KMC Si B [Link] BFI 1.5
pdbSet KMC Si B [Link] BF,Bi 0.01
pdbSet KMC Si B [Link] BF,Fi 0.0001
pdbSet KMC Si B [Link] BF,I 0.5
pdbSet KMC Si B [Link] BF,V 0.5

Dose Loss
The dopant dose loss is controlled by the three-phase segregation model for the silicon–
oxide interface model. Dopant trapping at the interface is diffusion limited; therefore, the
dopant–point defect migration parameters also have an influence on the dose loss. The
recommended fitting parameters for dose loss are the parameters for the maximum surface
concentration of trapped particles [Link] and [Link] as well as the parameter for
the interface barrier EBarrier_SurfSi.

Recrystallization
For experiments with amorphizing conditions, the recrystallization parameters allow for a
wide range of optimization, but the complex parameter interactions must be taken into
account carefully. The maximum active doping concentration after recrystallization is an
influential and sometimes critical parameter both for activation and diffusion, and the
corresponding parameters [Link] and [Link] serve
as fitting parameters.
However, since the activation of doping during SPER usually is temperature dependent [26],
the accurate anneal temperature at SPER is critical. Therefore, the specification of the
accurate temperature profile during the anneal, especially the initial phase of the ramp-up
with temperatures at 500°C and above, is important. In addition, the doping and impurity
concentrations have an effect on the recrystallization velocity and can influence the
activation during SPER. The corresponding parameters [Link] and
[Link] are candidates for recalibration parameters. Finally, the portion of
swept impurities by the recrystallization front can also be adjusted from case to case.

Advanced Calibration for Process Simulation User Guide 290


V-2024.03
Chapter 7: Guidelines for Additional Calibration
Accuracy and Limitations of Advanced Calibration of Sentaurus Process KMC

Fluorine Effect
A special case is fluorine with its retarding effect on recrystallization [5]. By strongly slowing
down SPER, the presence of (coimplanted) fluorine can not only result in incorporation of an
higher active doping concentration during temperature ramp-up, but also allow more time for
dopant diffusion in amorphous silicon.
In the case of boron, with its higher diffusivity in amorphous silicon than crystalline
silicon [20], the influence of diffusion in amorphous silicon is enhanced. Therefore, for
fine-tuning, you can tune not only the fluorine parameters for redistribution during SPER
([Link] and [Link]), but also the fluorine parameters for
slowing down the recrystallization ([Link] and [Link]).

BF2 Implantation
While for boron implantation after preamorphization implantation, no boron is moved by the
recrystallization front, this could be the case for BF2. Therefore, you can allow some portion
of the boron swept by the recrystallization front by adjusting [Link].
In addition, the length of boron diffusion can be controlled by the incorporation of fluorine
during SPER. Most fluorine is incorporated into silicon as fluorine interstitials and as
so-called F–V clusters [27][28]. In the context of Sentaurus Process KMC, the F–V clusters
are F–I clusters (see Fluorine on page 249) containing fewer interstitials than fluorine.
Finally, the amount of interstitials incorporated into F–I clusters during SPER also influences
the boron diffusion.

Stress and SiGe Effects


The calibration of the effects of Ge concentration and stress on defect and dopant diffusion,
and clustering is part of Advanced Calibration for Sentaurus Process KMC. By default, the
Ge effects are modeled by linear correction factors for silicon parameters and are valid only
for low Ge mole fractions ranging between 0% and 50%. Optionally, you can activate Si and
Ge parameter interpolation for SiGe using the following command with the first argument set
to true:
KMC_SiGe_and_Stress_Effect 1 0

This deactivates the default linear correction factors and uses the interpolation parameters
defined for SiliconGermanium. The calibration covers the full range of the Ge mole fraction
(0–100%).
The stress effects on damage, diffusion, and binding in Advanced Calibration for Sentaurus
Process KMC are taken into account only if the KMC Stress switch is activated. Stress
effects are recommended to be activated by using the following command with the second
argument set to true:
KMC_SiGe_and_Stress_Effect 0 1

Advanced Calibration for Process Simulation User Guide 291


V-2024.03
Chapter 7: Guidelines for Additional Calibration
References

The calibration of Ge and stress effects [29][30] is based on a relatively small set of
measured data. The models have not yet been tested rigorously against SIMS data for all
dopant species and nonequilibrium annealing conditions, and against electrical data from
real-device fabrication processes. Further calibration for specific applications might be
required.

Stress Calculation
Sentaurus Process KMC uses the stress provided by Sentaurus Process, but Sentaurus
Process KMC does not compute it. The stress fields are updated from Sentaurus Process
for each diffuse step.
For calculating stress, it is recommended to use the mechanics parameters from Advanced
Calibration for Sentaurus Process in continuum mode. Therefore, Advanced Calibration
should also be called before activating the KMC mode by SetAtomistic.
For details about the mechanics parameters, see Parameters for Mechanics on page 302.

Dopant Activation Under Stress


In Advanced Calibration for Sentaurus Process KMC, no stress effects are included for
dopant–defect clusters. However, the solid solubility of dopants in silicon depends on the
strain [31] and is modeled in continuum Advanced Calibration (see Impact of Pressure on
Dopant Activation on page 75). In general, for compressive strain, the solubility of atoms
smaller than Si increases; whereas, the solubility of larger atoms decreases. To change the
cluster stability under strain, the stress correction volumes for potential energy can be
defined for individual clusters:
pdbSet KMC Si As [Link] As4V -0.01

References
[1] N. Zographos and I. Martin-Bragado, “A Comprehensive Atomistic Kinetic Monte
Carlo Model for Amorphization/Recrystallization and its Effects on Dopants,” in MRS
Symposium Proceedings, Doping Engineering for Front-End Processing, vol. 1070,
p. 1070-E03-01, 2008.
[2] H.-J. Gossmann et al., “Predictive Process Simulation of Cryogenic Implants for
Leading Edge Transistor Design,” in 19th International Conference on Ion
Implantation Technology (IIT), Valladolid, Spain, pp. 225–228, June 2012.
[3] R. D. Goldberg, J. S. Williams, and R. G. Elliman, “Amorphization of silicon by
elevated temperature ion irradiation,” Nuclear Instruments and Methods in Physics
Research B, vol. 106, no. 1–4, pp. 242–247, 1995.

Advanced Calibration for Process Simulation User Guide 292


V-2024.03
Chapter 7: Guidelines for Additional Calibration
References

[4] M. Posselt, L. Bischoff, and J. Teichert, “Influence of dose rate and temperature on
ion-beam-induced defect evolution in Si investigated by channeling implantation at
different doses,” Applied Physics Letters, vol. 79, no. 10, pp. 1444–1446, 2001.
[5] G. L. Olson and J. A. Roth, “Kinetics of Solid Phase Crystallization in Amorphous
Silicon,” Materials Science Reports, vol. 3, pp. 1–78, 1988.
[6] B. C. Johnson and J. C. McCallum, “Dopant-enhanced solid-phase epitaxy in buried
amorphous silicon layers,” Physical Review B, vol. 76, no. 4, p. 045216, 2007.
[7] D. D’Angelo et al., “Role of the strain in the epitaxial regrowth rate of heavily doped
amorphous Si films,” Applied Physics Letters, vol. 93, no. 23, p. 231901, 2008.
[8] I. Martin-Bragado et al., “From point defects to dislocation loops: A comprehensive
TCAD model for self-interstitial defects in silicon,” in 37th European Solid-State
Device Research Conference (ESSDERC), Munich, Germany, pp. 334–337,
September 2007.
[9] N. E. B. Cowern et al., “Energetics of Self-Interstitial Clusters in Si,” Physical Review
Letters, vol. 82, no. 22, pp. 4460–4463, 1999.
[10] P. A. Stolk et al., “Physical mechanisms of transient enhanced dopant diffusion in
ion-implanted silicon,” Journal of Applied Physics, vol. 81, no. 9, pp. 6031–6050,
1997.
[11] H. Saleh et al., “Energy dependence of transient enhanced diffusion and defect
kinetics,” Applied Physics Letters, vol. 77, no. 1, pp. 112–114, 2000.
[12] J. Li and K. S. Jones, “{311} defects in silicon: The source of the loops,” Applied
Physics Letters, vol. 73, no. 25, pp. 3748–3750, 1998.
[13] F. Cristiano et al., “Ion beam induced defects in crystalline silicon,” Nuclear
Instruments and Methods in Physics Research B, vol. 216, pp. 46–56, February 2004.
[14] Y. Lamrani et al., “Direct evidence of the recombination of silicon interstitial atoms at
the silicon surface,” Nuclear Instruments and Methods in Physics Research B,
vol. 216, pp. 281–285, February 2004.
[15] P. Calvo et al., “Thermal evolution of {113} defects in silicon: transformation against
dissolution,” Nuclear Instruments and Methods in Physics Research B, vol. 216,
pp. 173–177, February 2004.
[16] V. C. Venezia et al., “Depth profiling of vacancy clusters in MeV-implanted Si using Au
labeling,” Applied Physics Letters, vol. 73, no. 20, pp. 2980–2982, 1998.
[17] R. Duffy et al., “Dopant diffusion in amorphous silicon,” in MRS Symposium
Proceedings, Silicon Front-End Junction Formation—Physics and Technology,
vol. 810, San Francisco, CA, USA, p. C10.2.1, April 2004.
[18] I. Martin-Bragado and N. Zographos, “Indirect boron diffusion in amorphous silicon
modeled by kinetic Monte Carlo,” Solid-State Electronics, vol. 55, no. 1, pp. 22–28,
2011.

Advanced Calibration for Process Simulation User Guide 293


V-2024.03
Chapter 7: Guidelines for Additional Calibration
References

[19] V. C. Venezia et al., “Boron diffusion in amorphous silicon,” Materials Science and
Engineering B, vol. 124–125, pp. 245–248, December 2005.
[20] S. Mirabella et al., “Mechanism of Boron Diffusion in Amorphous Silicon,” Physical
Review Letters, vol. 100, p. 155901, April 2008.
[21] N. Zographos and I. Martin-Bragado, “Atomistic Modeling of Carbon Co-Implants and
Rapid Thermal Anneals in Silicon,” in 15th IEEE International Conference on
Advanced Thermal Processing of Semiconductors (RTP), Catania, Italy, pp. 119–122,
October 2007.
[22] T. Noda, S. Odanaka, and H. Umimoto, “Effects of end-of-range dislocation loops on
transient enhanced diffusion of indium implanted in silicon,” Journal of Applied
Physics, vol. 88, no. 9, pp. 4980–4984, 2000.
[23] G. Impellizzeri et al., “Fluorine in preamorphized Si: Point defect engineering and
control of dopant diffusion,” Journal of Applied Physics, vol. 99, p. 103510, May 2006.
[24] A. Halimaoui et al., “Investigation of Fluorine Effect on the Boron Diffusion by Mean
of Boron Redistribution in Shallow Delta-doped Layers,” in MRS Symposium
Proceedings, Silicon Front-End Junction Formation–Physics and Technology,
vol. 810, San Francisco, CA, USA, p. C3.10, April 2004.
[25] C.-L. Liu et al., “Ab initio modeling and experimental study of C–B interactions in Si,”
Applied Physics Letters, vol. 80, no. 1, pp. 52–54, 2002.
[26] S. H. Jain et al., “Metastable boron active concentrations in Si using flash assisted
solid phase epitaxy,” Journal of Applied Physics, vol. 96, no. 12, pp. 7357–7360,
2004.
[27] M. Diebel and S. T. Dunham, “Ab Initio Calculations to Model Anomalous Fluorine
Behavior,” Physical Review Letters, vol. 93, no. 24, p. 245901, 2004.
[28] M. Diebel and S. T. Dunham, “Reply to Ab Initio Calculations to Model Anomalous
Fluorine Behavior,” Physical Review Letters, vol. 96, p. 039602, January 2006.
[29] N. Zographos et al., “Process Modeling of Chemical and Stress Effects in SiGe,” in
19th International Conference on Ion Implantation Technology (IIT), Valladolid, Spain,
pp. 212–216, June 2012.
[30] N. Zographos and I. Martin-Bragado, “Process modeling of stress and chemical
effects in SiGe alloys using kinetic Monte Carlo,” Journal of Computational
Electronics, July 2013.
[31] C. Ahn et al., “Stress effects on impurity solubility in crystalline materials: A general
model and density-functional calculations for dopants in silicon,” Physical Review B,
vol. 79, no. 7, p. 073201, 2009.

Advanced Calibration for Process Simulation User Guide 294


V-2024.03
Part III: Advanced Calibration for Mechanics
Simulations

This part of the Advanced Calibration for Process Simulation User Guide contains the
following chapters:
• Chapter 8, Using Advanced Calibration File for Mechanics Simulations
• Chapter 9, Contents of Advanced Calibration for Mechanics Simulations

Advanced Calibration for Process Simulation User Guide 295


V-2024.03
8
Using Advanced Calibration File for Mechanics
8

Simulations

This chapter describes the use of the Advanced Calibration file for mechanics simulations
using Sentaurus Process and Sentaurus Interconnect.

Advanced Calibration is a selection of models and parameters that is recommended to be


used for accurate process simulation, including mechanics simulation. In Sentaurus
Process and Sentaurus Interconnect, this selection of models and parameters is contained
in a text file, which can be opened with any standard text editor.
By sourcing the Advanced Calibration file at the beginning of a simulation, the standard
calibration of Synopsys is selected. If needed, you can change or extend Advanced
Calibration. This can be performed by either sourcing an additional calibration file, which
contains the required parameter changes, or editing the Advanced Calibration file with a text
editor.

Location of Advanced Calibration File


The Advanced Calibration file is the ultimate product of Synopsys’ calibration efforts. For
each release of Sentaurus Process and Sentaurus Interconnect, there is a new Advanced
Calibration file that includes the best and latest set of models and parameters. To ensure
backward compatibility, the previous Advanced Calibration files are still available.
The files for the Advanced Calibration of Sentaurus Process are located at:
$STROOT/tcad/$STRELEASE/lib/sprocess/TclLib/AdvCal

The STROOT environment variable indicates where the Synopsys TCAD distribution has
been installed.
The default file is named AdvCal_2024.[Link] and represents the first version of
Advanced Calibration Version V-2023.12. Older versions of the Advanced Calibration file
can be found in the same directory. For example, the file AdvCal_2022.[Link] contains the
Advanced Calibration file for Version U-2022.12 and is available for backward compatibility.

Advanced Calibration for Process Simulation User Guide 296


V-2024.03
Chapter 8: Using Advanced Calibration File for Mechanics Simulations
Using Advanced Calibration

Mechanical parameters are located only in the Advanced Calibration file for silicon, SiGe,
and germanium (the default one).
Note:
There are no settings related to mechanics in the Advanced Calibration file for
4H-SiC process simulation.

The files for the Advanced Calibration of Sentaurus Interconnect are located at:
$STROOT/tcad/$STRELEASE/lib/sinterconnect/TclLib/AdvCal

The default file is named AdvCal_2024.[Link] and represents the first version of
Advanced Calibration Version V-2023.12. Older versions of the Advanced Calibration file
can be found in the same directory. For example, the file AdvCal_2022.[Link] contains the
Advanced Calibration file for Version U-2022.12 and is available for backward compatibility.

Using Advanced Calibration


To use Advanced Calibration for mechanics simulations in Sentaurus Process and
Sentaurus Interconnect, at the beginning of the input file, insert the line:
AdvancedCalibration 2024.03

Alternatively, this file can be sourced by using:


source $AdvCalDir/AdvCal_2024.[Link]

or:
source $AdvCalDir/AdvCal_2024.[Link]

The recommended set of mechanical models and parameters is located in section 2.15 of
the default Advanced Calibration file (in Sentaurus Process, it is the file for Si-, SiGe-, and
Ge-based technology). In earlier versions of Advanced Calibration (E-2010.12 to
K-2015.06), this set was activated manually by calling the
AdvancedCalibrationMechanics procedure.
Note:
The set is applied by default, and the AdvancedCalibrationMechanics
procedure is deprecated.

Earlier Versions of Advanced Calibration


To source earlier versions of the Advanced Calibration file, for example, insert the line:
AdvancedCalibration 2022.12

Advanced Calibration for Process Simulation User Guide 297


V-2024.03
Chapter 8: Using Advanced Calibration File for Mechanics Simulations
Earlier Versions of Advanced Calibration

This is converted internally to:


source $AdvCalDir/AdvCal_2022.[Link]

After sourcing the Advanced Calibration file, insert the line:


AdvancedCalibrationMechanics

This command calls the procedure including the parameters for mechanics simulation.
For earlier versions of the Advanced Calibration file of Sentaurus Process, see Earlier
Versions of Advanced Calibration on page 21.
Table 8 lists earlier versions of the Advanced Calibration file that can be loaded with
Sentaurus Interconnect Version V-2023.12.
Table 8 Earlier versions of Advanced Calibration file and their corresponding commands

Advanced Calibration file Corresponding command

AdvCal_2022.[Link] AdvancedCalibration 2022.12

AdvCal_2022.[Link] AdvancedCalibration 2022.03

AdvCal_2021.[Link] AdvancedCalibration 2021.06

AdvCal_2020.[Link] AdvancedCalibration 2020.09

AdvCal_2019.[Link] AdvancedCalibration 2019.12

AdvCal_2019.[Link] AdvancedCalibration 2019.03

AdvCal_2018.[Link] AdvancedCalibration 2018.06

AdvCal_2017.[Link] AdvancedCalibration 2017.09

AdvCal_2016.[Link] AdvancedCalibration 2016.12

AdvCal_2016.[Link] AdvancedCalibration 2016.03

AdvCal_2015.[Link] AdvancedCalibration 2015.06

AdvCal_2014.[Link] AdvancedCalibration 2014.09

AdvCal_2013.[Link] AdvancedCalibration 2013.12

AdvCal_2013.[Link] AdvancedCalibration 2013.03

Advanced Calibration for Process Simulation User Guide 298


V-2024.03
Chapter 8: Using Advanced Calibration File for Mechanics Simulations
Earlier Versions of Advanced Calibration

This possibility is available to provide backward compatibility. You can run simulations with
the latest version of Sentaurus Interconnect, but the simulations can still be based on an old
calibration. For new TCAD projects, it is recommended to load the latest version of
Advanced Calibration.

Advanced Calibration for Process Simulation User Guide 299


V-2024.03
9
Contents of Advanced Calibration for Mechanics
9

Simulations

This chapter describes the models and parameters used in Advanced Calibration for
mechanics simulations.

Overview of Advanced Calibration File


Section 2.15 of the Advanced Calibration file includes a set of recommended mechanical
parameters for the materials of the most common electronics as well as appropriate settings
for mechanics simulations.
In previous releases (E-2010.12 to K-2015.06), this set was placed in the procedure
AdvancedCalibrationMechanics. This procedure was switched off by default and had to
be invoked explicitly to load the modified parameters described here. After sourcing the
Advanced Calibration file of Version V-2023.12, the set is applied by default without calling
the procedure AdvancedCalibrationMechanics.
Note:
The procedure AdvancedCalibrationMechanics is deprecated.

The set of mechanical parameters contains values from the literature (with corresponding
references for the values that differ from the default ones) for silicon, germanium,
polysilicon, SiO2 (Oxide), Si3N4 (Nitride), TiN (TiNitride), HfO2, GeO2 (GeOxide), and
SiC (SiliconCarbide). The set is incomplete, that is, it does not include all parameters for
all the materials and mechanical models available in Sentaurus Process and Sentaurus
Interconnect, but it serves as an aggregated source of the most recent (and, sometimes,
different from the default) parameters for mechanical simulations.

Switches for Interpolation in Mole Fraction–Dependent Mechanical


Models
The set of recommended mechanical models and parameters includes the parameter
interpolation syntax (see Sentaurus™ Process User Guide, Alloy Materials and Parameter

Advanced Calibration for Process Simulation User Guide 300


V-2024.03
Chapter 9: Contents of Advanced Calibration for Mechanics Simulations
Overview of Advanced Calibration File

Interpolation) as well as the older syntax including deprecated syntax for Ge mole fraction
dependency of mechanical parameters in Ge-doped silicon.
Using the new syntax is the best choice for devices with SiGe regions. However, the usage
of the parameter interpolation can noticeably increase the simulation time for diffusion in the
presence of Ge in silicon with the concentration > [Link] (or similarly, the presence
of Si in germanium) even in cases where the influence of the Ge content on diffusion is not
important. This might occur, for example, in a simulation of silicon devices with Ge
preamorphization implantation (PAI) simulated with Monte Carlo implantation. Typically,
within Advanced Calibration, these two cases are differentiated by the usage of the
SiGe_and_Stress_Effect procedure:

• Where the Ge mole fraction is important for diffusion, use SiGe_and_Stress_Effect


with the Ge_Chem_Eff=1 argument.
• Where the Ge mole fraction is not important for diffusion, you can either:
◦ Not call SiGe_and_Stress_Effect at all, which corresponds to:
SiGe_and_Stress_Effect 0 0 0 0

◦ Call SiGe_and_Stress_Effect 0 * * * (where * is either 0 or 1).


Depending on the value of the argument Ge_Chem_Eff, either the modern syntax (for
Ge_Chem_Eff=1) or the older syntax (for Ge_Chem_Eff=0) is used for mechanics
simulations.
The following lines defining the default behavior of Advanced Calibration are included in
section 2.14 of AdvCal_2024.[Link]:
pdbSet Si [Link] 1
pdbSet Ge [Link] 1
pdbSet Mechanics [Link] 0
pdbSet Mechanics [Link] 0

These lines switch off parameter interpolation in SiGe (as well as interpolation of elastic
constants and the coefficients of thermal expansion in all materials) and switch off the
default lattice mismatch model for alloy materials. The same settings are applied in the
procedure SiGe_and_Stress_Effect when Ge_Chem_Eff=0. If Ge_Chem_Eff=1, the
opposite values are set for the above four parameters.
When the model of parameter interpolation for mechanical parameters in compound
materials is deactivated using the following command, the deprecated syntax for
interpolation of mechanical parameters in SiGe becomes active:
pdbSet Mechanics [Link] 0

In addition, the following command activates the old [Link] model for Ge impurity in
silicon:
pdbSet Mechanics [Link] 0

Advanced Calibration for Process Simulation User Guide 301


V-2024.03
Chapter 9: Contents of Advanced Calibration for Mechanics Simulations
Parameters for Mechanics

Besides the usage of the modern or older syntax (and corresponding models), these
switches determine which definition of the Ge mole fraction is used. That is, the models
corresponding to the modern syntax use a more precise definition (see Equation 2 on
page 64). Whereas, the old mechanical models use the old definition (see Equation 3 on
page 64).
The value of the Si [Link] parameter controls which definition
of the mole fraction is used for the xMoleFraction field saved into a TDR file after diffusion
for material SiliconGermanium derived from material Silicon.
The following parameter setting leads to the new mole fraction definition being used for
saving the xMoleFraction field:
pdbSet Si [Link] 0

Both the modern and older mechanics syntax allow similar functionality for SiGe simulations
(and even very close results for low Ge mole fractions), but the modern syntax is superior in
terms of functionality and precision for large mole fractions.
Note:
Versions V-2023.12 to K-2015.06 of the Advanced Calibration file for Sentaurus
Interconnect do not include settings for mole fraction dependency of mechanical
parameters and concentration-dependent stress models, which are present in the
version for Sentaurus Process.

Parameters for Mechanics


The parameters for mechanics simulations are described here.

Suppression of Dilatational Viscosity


In Sentaurus Process and Sentaurus Interconnect, the viscoelastic response can be applied
to both the deviatoric and volumetric parts. In fact, solid materials do not demonstrate
dilatational viscosity. To restrict the viscoelastic response to the deviatoric part only, the
following flag is set:
pdbSet Mechanics NoBulkRelax 1

Mole Fraction–Dependent Mechanics Parameters for SiGe


A simple linear interpolation between parameter values in silicon and germanium is used to
calculate the elastic stiffness constants and the linear thermal expansion coefficient in SiGe.

Advanced Calibration for Process Simulation User Guide 302


V-2024.03
Chapter 9: Contents of Advanced Calibration for Mechanics Simulations
Parameters for Mechanics

Note:
Due to some limitations in Sentaurus Process, you should use only
temperature-independent coefficients of thermal expansion.

By default and in the case of Ge_Chem_Eff=0, the mole fraction–dependent model is


invoked by the following older syntax:
pdbSetBoolean Si IsCompound 1
pdbSetString Mechanics BCompoundList {Silicon Germanium}
pdbSetDoubleArray SiliconGermanium CompoundInterp {0 0 1 1}

For epitaxially grown materials, the correct thermal stress model is set by the following
string:
pdbSetBoolean Mechanics [Link] 1

The definition of lattice mismatch strain with respect to the relaxed local lattice constant is
set by the following line:
pdbSet Mechanics [Link] LCC

Quadratic interpolation for the strain calculation using the lattice mismatch model in binary
Si1–xGex materials (lattice constant aSiGe = 5.431 + 0.201x + 0.026x2 Å) and Si1–xCx
materials (lattice constant aSiC = 5.431 – 2.4542x + 0.59x2 Å) is introduced [1]. In the
parameter [Link], the strain is specified as a piecewise linear function of the mole
fraction (the older mole fraction definition is used then; see Equation 3 on page 64). The
modern syntax for the quadratic lattice constant interpolation for the lattice mismatch model
in SiGe is given by:
pdbSet SiGe LatticeConstant.X2 -0.026

Cubic Crystal Anisotropy for Silicon and Germanium


For calculating stress, silicon and germanium are treated as anisotropic materials, defined
by the following lines:
pdbSet Si Mechanics Anisotropic 1
pdbSet Ge Mechanics Anisotropic 1

Temperature Dependency of Stiffness Coefficients for Silicon and


Germanium
Temperature dependency of the stiffness coefficients for silicon and germanium have been
introduced using the temperature derivatives at 300 K [2]. Both the modern syntax (using
T1) and the older syntax (using Rate) are provided. The temperature dependency of the
elastic coefficients of crystalline silicon and germanium leads to an approximately 10%
reduction of their values at 1000°C in comparison to the room temperature ones.

Advanced Calibration for Process Simulation User Guide 303


V-2024.03
Chapter 9: Contents of Advanced Calibration for Mechanics Simulations
Parameters for Mechanics

Isotropic Elastic Moduli for Germanium


Germanium isotropic elastic moduli are calculated in the Voigt–Reuss–Hill [3] polycrystalline
approximation from its single-crystal anisotropic elastic constants:
pdbSet Ge Mechanics BulkModulus 75.00e10
pdbSet Ge Mechanics ShearModulus 54.44e10

Polysilicon
Polysilicon bulk modulus and shear modulus are calculated from the measured Young’s
modulus and the Poisson ratio [4]. Polysilicon is known to be a plastic material at high
temperatures with a temperature-dependent plasticity threshold [5][6]. It is taken into
account by the Arrhenius-type dependency of the yield stress as follows:
pdbSet PolySi Mechanics FirstYield 5.75e8
pdbSet PolySi Mechanics FirstYieldW -0.23

The temperature-dependent yield stress can be used to increase accuracy of the stress
memorization effect simulation [7]. The plasticity model is not switched on in Advanced
Calibration. If needed, it can be switched on by the line:
pdbSetBoolean PolySilicon Mechanics IsPlastic 1

Temperature dependency has been introduced for the elastic moduli of polysilicon following
the values for crystalline silicon [2]. The given rate values have been derived from the
temperature dependency of the isotropic elastic moduli of polysilicon, which were calculated
from the elastic constants of silicon in the Voigt–Reuss–Hill polycrystalline approximation.
Both the modern syntax (using T1) and the older syntax (using Rate) are provided. The
temperature dependency of the elastic moduli of polysilicon leads to an approximately 10%
reduction of their values at 1000°C in comparison to the room temperature ones.

Viscosity of Oxide and Nitride


Temperature dependency also was included for the oxide and nitride stress-dependent
viscosity activation volumes [8]:
pdbSet Ox Mechanics Vcrit0 1.25e-20
pdbSet Ox Mechanics VcritW 0.35
pdbSet Nit Mechanics Vcrit0 4.7e-21
pdbSet Nit Mechanics VcritW 0.35

Advanced Calibration for Process Simulation User Guide 304


V-2024.03
Chapter 9: Contents of Advanced Calibration for Mechanics Simulations
Parameters for Mechanics

Isotropic Moduli for Titanium and Titanium Silicide


For Ti (Titanium) and TiSi2 (TiSilicide), isotropic moduli based on the literature [9][10]
are suggested:
pdbSet Ti Mechanics BulkModulus 106.e10
pdbSet Ti Mechanics ShearModulus 42.e10
pdbSet TiSi2 Mechanics BulkModulus 128.3e10
pdbSet TiSi2 Mechanics ShearModulus 59.2e10

The values for TiSi2 are obtained from the measured value of the biaxial elastic modulus
(220 GPa) [10] and the assumed Poisson ratio 0.30. No reliable measurement of the
Poisson ratio for the stable (C54) phase of TiSi2 was found in the literature. The value
0.22±0.07 from [11] appears to be unreliable because it uses an improbably large value of
the elastic modulus (259 GPa) obtained for bulk material from [12]. The spread of the elastic
coefficient values is, in general, large for silicides: The values can vary by approximately
50% depending on the exact silicide stoichiometry and process conditions [13].

Amorphous Germanium Oxide


Isotropic elastic moduli and the linear coefficient of thermal expansion (LCTE) are
introduced for vitreous (amorphous) GeO2:
pdbSet GeOx Mechanics BulkModulus 23.87e10
pdbSet GeOx Mechanics ShearModulus 18.10e10
pdbSet GeOx Mechanics ThExpCoeff 9.06e-6

The values of the elastic moduli at 25°C are taken from [14]. These values correspond to the
Young’s modulus of 43.34 GPa, which is smaller than 53.5 GPa±10% reported in [15].
LCTE = 9.06e-6 K–1 at 660°C is taken from [16]. In [15], LCTE = 1.0e-6 K–1 was measured
at room temperature and, in addition, LCTE = 7.5e-6 K–1 and 7.7e-6 K–1 were referenced.

Titanium Nitride
Isotropic elastic moduli and the LCTE are introduced for TiN (TiNitride):
pdbSet TiNitride Mechanics BulkModulus 300e10
pdbSet TiNitride Mechanics ShearModulus 180e10
pdbSet TiNitride Mechanics ThExpCoeff 9.35e-6

The structure of TiN films is typically polycrystalline with cubic lattice and preferable [100] or
[111] orientation [17], but for certain deposition conditions, it can be also amorphous [18].
The above TiN elastic moduli are obtained by averaging the measured values for coating
films [19] and Voigt–Reuss–Hill isotropic ones calculated from single-crystal measurements
[20]. They result in a Young’s modulus equal to 450 GPa. Elastic moduli of TiN vary
substantially depending on the deposition conditions and the film thickness, for example,

Advanced Calibration for Process Simulation User Guide 305


V-2024.03
Chapter 9: Contents of Advanced Calibration for Mechanics Simulations
Parameters for Mechanics

experimental Young’s modulus values vary from 200 GPa to 488 GPa [19]–[26]. LCTE of TiN
is taken from [22].

Hafnium Oxide
Isotropic elastic moduli and the LCTE are introduced for HfO2:
pdbSet HfO2 Mechanics BulkModulus 234.615e10
pdbSet HfO2 Mechanics ShearModulus 109.2e10
pdbSet HfO2 Mechanics ThExpCoeff 8.5e-6

These elastic moduli are obtained for monoclinic HfO2 in [27], and they correspond to a
Young’s modulus of 283.6 GPa. Depending on the manufacturing conditions, the structure
of HfO2 film can be amorphous or polycrystalline with a monoclinic or an orthorhombic
lattice, or even a combination of the three [28][29]. Reported experimental Young’s modulus
values of HfO2 vary from 152 GPa to 370 GPa [27][30][31][32][33]. The above isotropic
LCTE of HfO2 was calculated by averaging the anisotropic LCTE components of monoclinic
HfO2 from [34].

Silicon Carbide
Isotropic elastic moduli and the LCTE are introduced for SiC (SiliconCarbide):
pdbSet SiC Mechanics BulkModulus 220.552e10
pdbSet SiC Mechanics ShearModulus 191.106e10
pdbSetDouble SiC Mechanics ThExpCoeff 3.25622e-6

These SiC isotropic elastic moduli are calculated in the Voigt–Reuss–Hill approximation
from experimental anisotropic elastic constants reported in [35]. The measurements of
single-crystal samples showed that 4H and 6H-SiC have the same elastic constants within
experimental uncertainties [35]. For 3C-SiC, isotropic elastic moduli based on a Young’s
modulus of 410 GPa and a Poisson ratio of 0.19 measured for single-crystal thin-film
samples in [36] are BulkModulus=220e10 and ShearModulus=172e10 dyn/cm2.
Measurements reported in [36] had substantial spread, depending on the structure of the
samples. Additional references on experimental measurements of 3C-SiC elastic properties
can be found in [37]. The above isotropic LCTE of SiC was calculated by averaging the
anisotropic LCTE components of hexagonal 4H-SiC at 300 K from [38][39]. Both 6H and 3C
polytypes have similar values of LCTE [40][41][42][43]. The results of LCTE measurements
for 3C, 4H, and 6H-SiC were extended analytically for other SiC polytypes in [44].

Advanced Calibration for Process Simulation User Guide 306


V-2024.03
Chapter 9: Contents of Advanced Calibration for Mechanics Simulations
References

References
[1] D. De Salvador et al., “Lattice parameter of Si1–x–yGexCy alloys,” Physical Review B,
vol. 61, no. 19, pp. 13005–13013, 2000.
[2] S. Adachi, Handbook on Physical Properties of Semiconductors, vol. 1, (p. 57,
Table 2.3.5 and p. 142, Table 3.3.9), New York: Springer, 2004.
[3] R. Hill, “The Elastic Behaviour of a Crystalline Aggregate,” The Proceedings of the
Physical Society, vol. 65, no. 389A, pp. 349–354, 1952.
[4] W. N. Sharpe, Jr. et al., “Measurements of Young’s Modulus, Poisson’s Ratio, and
Tensile Strength of Polysilicon,” in Tenth Annual International Workshop on Micro
Electro Mechanical Systems (MEMS), Nagoya, Japan, pp. 424–429, January 1997.
[5] C.-S. Oh, G. Coles, and W. N. Sharpe, Jr., “High Temperature Behavior of Polysilicon,”
in MRS Symposium Proceedings, Nano- and Microelectromechanical Systems
(NEMS and MEMS) and Molecular Machines, vol. 741, Boston, MA, USA, pp. J3.6.1–
J3.6.6, December 2002.
[6] Yu. V. Milman, I. V. Gridneva, and A. A. Golubenko, “Construction of Stress-Strain
Curves for Brittle Materials by Indentation in a Wide Temperature Range,” Science of
Sintering vol. 39, no. 1, pp. 67–75, 2007.
[7] C. Ortolland et al., “Stress Memorization Technique—Fundamental Understanding
and Low-Cost Integration for Advanced CMOS Technology Using a Nonselective
Process,” IEEE Transactions on Electron Devices, vol. 56, no. 8, pp. 1690–1697,
2009.
[8] V. Senez et al., “Two-Dimensional Simulation of Local Oxidation of Silicon: Calibrated
Viscoelastic Flow Analysis,” IEEE Transactions on Electron Devices, vol. 43, no. 5,
pp. 720–731, 1996.
[9] Materials Properties Handbook: Titanium Alloys, R. Boyer, G. Welsch, and
E. W. Collings (eds.), Materials Park, Ohio: ASM International, 1994.
[10] J. T. Pan and I. Blech, “In situ stress measurement of refractory metal silicides during
sintering,” Journal of Applied Physics, vol. 55, no. 8, pp. 2874–2880, 1984.
[11] P. J. J. Wessels et al., “Stresses in sputtered Ti-Si multilayers and polycrystalline
silicide films,” Journal of Applied Physics, vol. 63, no. 10, pp. 4979–4982, 1988.
[12] G. V. Samsonov and I. M. Vinitskii, Handbook of Refractory Compounds, p. 287, New
York: IFI/Plenum, 1980.
[13] J. F. Jongste et al., “Elastic constants and thermal expansion coefficient of metastable
C49 TiSi2,” Journal of Applied Physics, vol. 73, no. 6, pp. 2816–2820, 1993.
[14] N. Soga, “Pressure Derivatives of the Elastic Constants of Vitreous Germania at 25°,
–78.5°, and –195.8°C,” Journal of Applied Physics, vol. 40, no. 8, pp. 3382–3385,
1969.

Advanced Calibration for Process Simulation User Guide 307


V-2024.03
Chapter 9: Contents of Advanced Calibration for Mechanics Simulations
References

[15] S. Spinner and G. W. Cleek, “Temperature Dependence of Young’s Modulus of


Vitreous Germania and Silica,” Journal of Applied Physics, vol. 31, no. 8, pp. 1407–
1410, 1960.
[16] D. B. Dingwell, R. Knoche, and S. L. Webb, “A Volume Temperature Relationship for
Liquid GeO2 and some Geophysically Relevant Derived Parameters for Network
Liquids,” Physics and Chemistry of Minerals, vol. 19, no. 7, pp. 445–453, 1993.
[17] P. Patsalas, C. Gravalidis, and S. Logothetidis, “Surface kinetics and subplantation
phenomena affecting the texture, morphology, stress, and growth evolution of
titanium nitride films,” Journal of Applied Physics, vol. 96, no. 11, pp. 6234–6246,
2004.
[18] M. Leskelä and M. Ritala, “Atomic layer deposition (ALD): from precursors to thin film
structures,” Thin Solid Films, vol. 409, no. 1, pp. 138–146, 2002.
[19] A. S. Maxwell, S. Owen-Jones, and N. M. Jennett, “Measurement of Young’s modulus
and Poisson’s ratio of thin coatings using impact excitation and depth-sensing
indentation,” Review of Scientific Instruments, vol. 75, no. 4, pp. 970–975, 2004.
[20] J. O. Kim et al., “Elastic constants of single-crystal transition-metal nitride films
measured by line-focus acoustic microscopy,” Journal of Applied Physics, vol. 72,
no. 5, pp. 1805–1811, 1992.
[21] P. Jedrzejowski, J. E. Klemberg-Sapieha, L. Martinu, “Relationship between the
mechanical properties and the microstructure of nanocomposite TiN/SiN1.3 coatings
prepared by low temperature plasma enhanced chemical vapor deposition,” Thin
Solid Films, vol. 426, no. 1–2, pp. 150–159, 2003.
[22] H. O. Pierson, Handbook of Refractory Carbides and Nitrides: Properties,
Characteristics, Processing and Applications, Westwood, New Jersey: Noyes
Publications, p. 193, 1996.
[23] R. Berriche et al., “Evaluation of Hard TiN Coatings by Depth Sensing Indentation and
Scratch Testing Methods,” Advanced Performance Materials, vol. 4, no. 4, pp. 357–
370, 1997.
[24] T. Namazu et al., “Direct Measurement Technique of Strain in XRD Tensile Test for
Evaluating Poisson’s Ratio of Micro-Thick TiN Films,” in IEEE International
Conference on Micro Electro Mechanical Systems (MEMS), Maastricht, The
Netherlands, pp. 157–160, January 2004.
[25] K. Holmberg et al., “Residual stresses in TiN, DLC and MoS2 coated surfaces with
regard to their tribological fracture behaviour,” Wear, vol. 267, no. 12, pp. 2142–2156,
2009.
[26] H. Chen et al., “Strength and elastic moduli of TiN from radial x-ray diffraction under
nonhydrostatic compression up to 45 GPa,” Journal of Applied Physics, vol. 107,
no. 11, p.113503, 2010.

Advanced Calibration for Process Simulation User Guide 308


V-2024.03
Chapter 9: Contents of Advanced Calibration for Mechanics Simulations
References

[27] S. L. Dole, O. Hunter, Jr., and C. J. Wooge, “Elastic Properties of Monoclinic Hafnium
Oxide at Room Temperature,” Journal of the American Ceramic Society, vol. 60,
no. 11–12, pp. 488–490, 1977.
[28] M. C. Cheynet et al., “Crystal structure and band gap determination of HfO2 thin
films,” Journal of Applied Physics, vol. 101, no. 5, p. 054101, 2007.
[29] M. Franta et al., “Microstructure of HfO2 and HfxSi1-xOy Dielectric Films Prepared on
Si for Advanced CMOS Application,” in Sixth International Conference on Advanced
Semiconductor Devices and Microsystems (ASDAM), Smolenice Castle, Slovakia,
pp. 47–50, October 2006.
[30] D. K. Venkatachalam et al., “Nanomechanical properties of sputter-deposited HfO2
and HfxSi1-xO2 thin films,” Journal of Applied Physics, vol. 110, no. 4, p. 043527,
2011.
[31] K. Tapily et al., “Nanoindentation Investigation of HfO2 and Al2O3 Films Grown by
Atomic Layer Deposition,” Journal of the Electrochemical Society, vol. 155, no.7,
pp. H545–H551, 2008.
[32] K. Tapily et al., “Nanomechanical study of amorphous and polycrystalline ALD HfO2
thin films,” International Journal of Surface Science and Engineering, vol. 5, no 2-3,
pp. 193–204, 2011.
[33] R. G. Munro, “Elastic Moduli Data for Polycrystalline Ceramics,” NISTIR 6853,
National Institute of Standards and Technology, Gaithersburg, Maryland,
[Link] 2002.
[34] R. N. Patil and E. C. Subbarao, “Axial Thermal Expansion of ZrO2 and HfO2 in the
Range Room Temperature to 1400°C,” Journal of Applied Crystallography, vol. 2,
no. 6, pp. 281–288, 1969.
[35] K. Kamitani et al., “The elastic constants of silicon carbide: A Brillouin-scattering study
of 4H and 6H SiC single crystals,” Journal of Applied Physics, vol. 82, no. 6, pp. 3152–
3154, 1997.
[36] K. M. Jackson et al., “Mechanical Properties of 3C Thin-Film Silicon Carbide,” in SEM
Annual Conference on Experimental Mechanics, Milwaukee, WI, USA, June 2002.
[37] K. M. Jackson et al., “Mechanical Properties of Epitaxial 3C Silicon Carbide Thin
Films,” Journal of Microelectromechanical Systems, vol. 14, no. 4, pp. 664–672,
2005.
[38] Z. Li and R. C. Bradt, “Thermal expansion of the hexagonal (4H) polytype of SiC,”
Journal of Applied Physics, vol. 60, no. 2, pp. 612–614, 1986.
[39] NIST Structural Ceramics Database (SCD), SCD Citation Number: Z00390 (go to
[Link]
[40] Z. Li and R. C. Bradt, “Thermal Expansion of the Hexagonal (6H) Polytype of Silicon
Carbide,” Journal of the American Ceramic Society, vol. 69, no. 12, pp. 863–866,
1986.

Advanced Calibration for Process Simulation User Guide 309


V-2024.03
Chapter 9: Contents of Advanced Calibration for Mechanics Simulations
References

[41] NIST Structural Ceramics Database (SCD), SCD Citation Number: Z00077 (go to
[Link]
[42] Z. Li and R. C. Bradt, “Thermal expansion of the cubic (3C) polytype of SiC,” Journal
of Materials Science, vol. 21, no. 12, pp. 4366–4368, 1986.
[43] NIST Structural Ceramics Database (SCD), SCD Citation Number: Z00011 (go to
[Link]
[44] Z. Li and R. C. Bradt, “Thermal Expansion and Thermal Expansion Anisotropy of SiC
Polytypes,” Journal of the American Ceramic Society, vol. 70, no. 7, pp. 445–448,
1987.

Advanced Calibration for Process Simulation User Guide 310


V-2024.03
Part IV: Appendices

This part of the Advanced Calibration for Process Simulation User Guide contains the
following chapters:
• Appendix A, Licensed Modules and Features

Advanced Calibration for Process Simulation User Guide 311


V-2024.03
A
A Licensed Modules and Features

This appendix presents an overview of the licensed modules and features in Advanced
Calibration.

Overview of Licenses
Table 9 summarizes the licensed feature available in Advanced Calibration.
Table 9 List of licensed modules and features

Feature Required license Description

SiC Oxidation sprocess-poxi_all Enables oxidation of SiC

Advanced Calibration for Process Simulation User Guide 312


V-2024.03

You might also like