0% found this document useful (0 votes)
34 views60 pages

Lpvlsi Unit 4

Minimizing Leakage Power
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
0% found this document useful (0 votes)
34 views60 pages

Lpvlsi Unit 4

Minimizing Leakage Power
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd

MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.

MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.


MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.
Fabrication of Multiple Threshold Voltages
Present-day process technology allows the fabrication of metal–oxide–
semiconductor field-effect transistors (MOSFETs) of multiple threshold
voltages on a single chip.
BASIC IDEA: High-Vt transistors- to reduce leakage current
Low-Vt transistors to achieve high performance.
Dual-Vt ( multiple Vt) CMOS circuits: To realize high-performance and
low-power CMOS circuits.
Multiple-VT MOSFETs Essential in VLSI design to optimize
performance and power consumption in different regions of a circuit.

MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.


Realizing of multiple-VT MOSFETs needs
different channel-doping densities
based on the following expression:

where Vfb is the flat-band voltage, Na is the Variation of threshold voltage with
doping density in the substrate, and doping concentration

𝜏 = kT / q(Lx (Na / x)) .


B

 A higher doping density results in a higher


threshold voltage.
Variation of threshold voltage
with gate oxide thickness
MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.
 Two types of transistors with different threshold voltages, two
additional masks are required compared to the conventional single-
Vt fabrication process.
 This makes the dual-Vt fabrication costlier than single-Vt fabrication
technology.
 Moreover, due to the non-uniform distribution of the doping density,
it may be difficult to achieve dual threshold voltage when these are
very close to each other.

MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.


9.2.2 Multiple Oxide CMOS
Strong dependence threshold voltage on the value of Cox( the unit gate
capacitance).
Different gate capacitances can be realized by using different gate oxide
thicknesses.

Variation of threshold voltage Variation of threshold voltage with Variation of threshold voltage
oxide thickness for constant AR. with channel length
with gate oxide thickness

MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.


Dual-Vth MOSFETs can be realized by depositing two different oxide
thicknesses.
A lower gate capacitance due to higher oxide thickness not only reduces
subthreshold leakage current but also provides the following benefits:
a. Gate oxide tunnelling Reduced because the oxide tunnelling current
exponentially decreases with the increase in oxide thickness.
b. dynamic power dissipation Reduced due to reduced gate capacitance,
because of higher gate oxide thickness
It has some adverse effects due to an increase in short-channel effect.
where 𝜖 si and 𝜖 ox silicon and oxide
permittivities, L, tox, Wdm, and Xj are
channel length, gate oxide thickness, depletion
Immunity to the short-channel effect
depth, and junction depth, respectively. decreases as the AR value reduces
MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.
9.2.3 Multiple Channel Length
In short-channel devices, the threshold voltage decreases as the channel
length is reduced, which is known as Vth roll-off. This phenomenon
can be exploited to realize transistors of dual threshold voltages.
transistors with feature sizes close to 0.1 μm, halo techniques have to
be used to suppress the short-channel effects.
As the Vth roll-off becomes very sharp, it turns out to be a very
difficult task
to control the threshold voltage near the minimum feature size. For
such technologies,
longer channel lengths for higher Vth transistors increase the gate
capacitance,
which leads to more a dynamic power dissipation and delay.
MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.
9.2.4 Multiple Body Bias
The application of reverse body bias to the well-to-source junction leads
to an increase in the threshold voltage due to the widening of the bulk
depletion region, which is known as body effect. This effect can be
utilized to realize MOSFETs having multiple threshold voltages.

 This necessitates separate body biases to be applied to different


nMOS transistors, which means the transistors cannot share the
same well.
 Costly triple-well technologies are to be used for this purpose.
 Another alternative is to use silicon-on-insulator (SoI) technology,
where the devices are isolated naturally.
MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.
In order to get best of both the worlds, i.e. a smaller delay of low-Vt
devices and a smaller power consumption of high-Vt devices, a balanced
mix of both low-Vt and high-Vt devices may be used.

MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.


9.3 VTCMOS Approach
 Low-Vt transistors - leads to increased subthreshold leakage current,
which is of major concern when the circuit is in standby mode.
 Cell phones, personal digital assistants (PDAs), etc., a major part of
the circuit remains in standby mode most of the time. If the standby
current is not low, it will lead to a shorter battery life.
 VTCMOS circuits -body effect used to reduce the subthreshold
leakage current, when the circuit is in normal mode.
 Vt is function of voltage difference between the source and the
substrate.
 substrate terminals of all (nMOS(n-channel)) transistors are
connected to the ground potential and the substrate terminals of all
the (pMOS) transistors are connected to Vdd,
MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.
Source and drain diffusion regions remain reversed-biased with respect
to the substrate.
Threshold voltages are not significantly influenced by the body effect.
Substrate bias voltages in nMOS and pMOS transistors controlled by a
substrate bias control circuit.
Implementation: Substrate bias voltages in nMOS and pMOS
transistors controlled by a substrate bias control circuit.
Benefits:
 Enables dynamic threshold voltage adjustments.
 Enhances power efficiency.
 Particularly useful for battery-powered and IoT devices.
Trade-offs:
 Adds complexity to design.
 May result in a slightly larger chip area.
MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.
•Active Mode: (for Vdd = 1V )
• nMOS substrate bias (VBn) = 0V, pMOS substrate bias (VBp) = Vdd
Threshold voltages: tn (nMOS) = 0.2V, tp (pMOS) = -0.2V.
• Standby Mode(with substrate bias control circuit)
• Lower nMOS substrate bias (VBn) = -VB, higher pMOS substrate
bias (VBp) = Vdd + VB.
• Threshold voltages increase to Vtn = 0.5V and Vtp = -0.5V.
Effect:
Substantial reduction in subthreshold leakage currents.
Every 100-mV increase in threshold voltage cuts leakage current in
half.

MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.


Ectiveness:
• Effectively controls threshold voltage.
• Reduces subthreshold leakage current.
Requirements:
• Requires twin-well or triple-well CMOS fabrication technology.
• Different substrate bias voltages for various chip regions.
Considerations:
• May necessitate separate power pins if on-chip bias voltage
generation is not feasible.
• Additional area for substrate bias control circuitry is typically
negligible compared to the overall chip area

MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.


MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.
9.4 Transistor Stacking
stack effect: In CMOS circuits, when multiple transistors are
connected in series, the leakage current is strongly influenced by the
number of turned-off transistors. This phenomenon is referred to as
the "stack effect."
To minimize leakage current in a CMOS circuit, three mechanisms are
employed:

i. Negative Gate-to-Source Voltage:

 Exploits the exponential decrease in subthreshold current with


negative gate-to-source voltages.
 Significantly reduces leakage current.
MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.
ii. Body Effect:
 Capitalizes on the reverse-biasing of the body of all three
transistors concerning the source.
 Contributes to the reduction in leakage current.
iii. Reduced Source-to-Drain Voltages:
 Decreases subthreshold current due to the Drain-Induced Barrier
Lowering (DIBL) effect.
 Minimizes leakage current when all transistors are turned off.

MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.


MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.
As a result, the leakage current is at its minimum when the input vector
is 0000, indicating that all transistors are turned off. The actual leakage
current passing through the circuit varies with different input vectors.
For example, in a three-input NAND gate, the leakage current can
differ significantly for different input vectors, with the highest leakage
current being 99 times the lowest. The lowest current occurs when all
transistors in series are OFF, whereas the highest leakage current is
observed when all transistors are ON.

MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.


 In the realm of VLSI circuit design, identifying the input vector that
minimizes leakage current in standby mode becomes challenging for
complex circuits.
 Researchers employ various models and algorithms to estimate
nominal leakage current.
 To determine the minimum-leakage vector (MLV), a systematic
approach is taken.
 ***Applying the MLV during idle mode significantly cuts leakage
power dissipation, crucial in low-power VLSI design.

MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.


1.Maximizing Stack Effect:
1.Identify an input vector maximizing the stack effect, thereby
minimizing leakage power.
2.Ideal for regular structure data path circuits like adders and
multipliers.
3.Algorithms like genetic algorithms aid in finding the best input
vector.
2.Random Input Vectors:
1.Generate input vectors randomly and apply them to the circuit.
2. Select the vector minimizing leakage current, contributing to
reduced power dissipation.

MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.


1.Probabilistic Approach:
1. Eliminate the need for simulations over all 2^n input combinations
(n being circuit inputs).
2.Evaluate a small subset of possible states for leakage, using a
probabilistic approach.
These strategies provide diverse ways to tackle the complex challenge
of minimizing leakage power dissipation in VLSI circuits during
standby condition.

MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.


MTCMOS: Efficient Low-Power Design
MTCMOS (Multi-Threshold CMOS) introduced by Mutoh and colleagues
optimizes high-speed and low-power circuits.
Utilizes MOSFETs with dual threshold voltages for effective power management.
Employs active and sleep modes for efficient power utilization.
Basic MTCMOS Circuit:
Features a two-input NAND gate in Fig. 9.9.
CMOS logic gate uses low-threshold voltage transistors (0.2–0.3 V).
Power lines connected to 'virtual' supply lines (VDDV and GNDV).
Sleep control transistors (Q1 and Q2) with high-threshold voltage (0.5–0.6 V)
manage sleep mode.
Active mode (SL=LOW): High-speed operation with low-threshold voltage.
Sleep mode (SL=HIGH): Leakage power minimized by isolating power lines.

MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.


MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.
MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.
Performance Comparison:
•Fig. 9.10a illustrates MTCMOS NAND gate delay vs. supply voltage.
•MTCMOS outperforms conventional high-Vt gates, reducing delay by
70% at 1 V.
•Fig. 9.10b shows normalized power-delay product (NPDP)
demonstrating significant power reduction at low voltage.
•Standby current reduced by three to four orders of magnitude due to
sleep control.
Factors Influencing Speed Performance:
•Sleep transistor width (WH/WL) and capacitances of virtual power
lines impact speed.
•Simulation suggests WH/WL of 5 and CV/C0 of 5 result in minimal
Veff decrease and gate delay degradation.
MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.
Advantages and Challenges:
•MTCMOS easily implemented with existing circuits, no cell library
modification required.
•Reduces standby power but suffers from increased area and delay due to
large sleep transistors.
•Overcome challenges with the dual-Vt approach in the subsequent
section.
Conclusion:
•MTCMOS offers an effective solution for low-power design without
altering existing circuits.
•Challenges addressed through continuous advancements in dual-Vt
approaches.
MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.
9.6 Power Gating
•Objective: Efficiently manage power consumption in circuits.
•Approach: Extend MTCMOS principles to power management, also
known as power gating.
•Modes: Two power modes - active (normal operation) and low-power
(sleep) mode.
Implementation: Circuit switches modes appropriately at suitable
times.

MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.


9.6.1 Clock Gating Versus Power Gating

MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.


MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.
10.2 Adiabatic Charging

MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.


Capacitor C is charged through a resistor R using a constant current I(
t) instead of a fixed voltage Vdd. Here also it is assumed that initially at
time t = 0, there is no charge in the capacitor. Assuming the current is
constant,

Assuming the current is constant, the energy dissipated by the resistor


in the time interval 0 to T is given by

MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.


•For T>2RC, dissipated energy is less than 2CLVdd2 in conventional
charging Vdd.
•Increasing time T reduces dissipated energy; longer T means smaller
energy loss.
•Unlike conventional charging, energy dissipation here is proportional
to resistor R.
•Reducing R can decrease energy dissipation.
•Adiabatic charging moves charge slowly but efficiently, resulting in less
energy dissipation.

MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.


To enable energy-efficient computation, a capacitor is charged with a
stable voltage. After computation, the stored energy is returned to the
power supply. This requires a specially designed "pulsed power supply"
that generates a non-standard time-varying output. The process
involves four phases: precharge (capacitor charging), hold (computation),
recover (transfer charge back to power supply), and wait (before starting
a new pre-charge phase).

MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.


Adiabatic Logic Gates
To transform a static CMOS gate into an adiabatic logic gate for the
same Boolean function:
1.Step 1: Replace pull-up nMOS and pull-down pMOS networks with
transmission gates.
2.Step 2: Utilize the expanded pull-up network to drive the true output
load capacitance.
3.Step 3: Employ the expanded pull-down network to drive the
complementary output load capacitance.
4.Step 4: Replace Vdd with a pulsed power supply VA.

MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.


adiabatic
circuit, where
both networks
charge and
discharge load
capacitances
adiabatically.

adiabatic circuit requires


more transistors compared to
the static CMOS realization
of the same function.

MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.


BATTERY-DRIVEN SYSTEMS
Battery-driven system design incorporates the following techniques:
1.Voltage and Frequency Scaling:
1.Power dissipation depends on the square of the supply voltage and
linearly on frequency.
2.Dynamically adjust Vdd and frequency based on workload for
optimized energy consumption.
3.Vary clock frequency at runtime using information from a battery
model.
2.Dynamic Power Management:
1.Use battery state of charge to control system operation states.
3.Battery-Aware Task Scheduling:
1.Tailor current discharge profile to maximize actual battery capacity.
MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.
4. Battery Scheduling and Management:
1.Efficiently manage multi-battery systems through appropriate
scheduling.
5. Static Battery Scheduling:
1.Open-loop approaches like serial, random, or round-robin
scheduling without checking battery condition.
6. Terminal Voltage-Based Battery Scheduling:
1.Scheduling algorithm uses battery state of charge.
7. Discharge Current-Based Battery Scheduling:
1.Utilized for heterogeneous batteries with different rate capacities.
8. Battery-Efficient Traffic Shaping and Routing:
1. Network protocols and communication patterns impact battery
efficiency and lifetime.
MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.
Common battery technologies used in VLSI system design:

1.Lithium-Ion (Li-ion):
1.Advantages: High energy density, lightweight, and relatively low
self-discharge rate.
2.Application: Commonly used in various electronic devices,
including VLSI systems.
2.Lithium Polymer (Li-Po):
1.Advantages: Similar to Li-ion but with a flexible form factor.
2.Application: Used in thin and lightweight applications where
flexibility is crucial.

MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.


3. Solid-State Batteries:
1.Advantages: Potentially higher energy density, safety benefits (less
risk of fire), and longer lifespan.
2.Application: Emerging technology with potential applications in
VLSI systems.
4. Nickel-Metal Hydride (NiMH):
1.Advantages: Higher energy density than NiCd, environmentally
friendly.
2.Application: Used in various portable electronics.
5. Lead-Acid Batteries:
1.Advantages: Low cost, well-established technology.
2.Application: Less common in VLSI systems due to weight and size
constraints.
MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.
6. Sodium-Ion Batteries:
1.Advantages: Potential for lower cost and abundance of raw
materials compared to lithium-ion.
2.Application: An emerging technology with potential applications
in VLSI systems.
7. Flow Batteries:
1.Advantages: Scalability and potentially longer cycle life.
2.Application: Typically used in large-scale energy storage systems,
less common in VLSI due to size constraints.

MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.


Nickel-
Lithium- Lithium Solid- Metal Lead- Sodium-
Ion (Li- Polymer State Hydride Acid Ion Flow
Parameter ion) (Li-Po) Batteries (NiMH) Batteries Batteries Batteries
Energy Compara
Potentiall Moderate
Density High High Moderate Moderate ble to Li-
y high to High
(Wh/kg) ion
Power
Moderate Moderate Moderate
Density High High Moderate Moderate
to High to High to High
(W/kg)
Depends
300–500 300–500 on 200–1000 200–1000
Cycle Life Varies Varies
cycles cycles technolog cycles cycles
y
Self-
Discharge Low Low Low Moderate Moderate Low Low
Rate

MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.


Nickel-
Lithium- Lithium Metal Sodium- Flow
Ion (Li- Polymer Solid-State Hydride Lead-Acid Ion Batterie
Parameter ion) (Li-Po) Batteries (NiMH) Batteries Batteries s
Improved
Generally Generally Generally Requires Similar to Modera
Safety safety
safe safe safe care Li-ion te risk
features
Form Rigid or
Rigid Flexible Flexible Rigid Rigid Variable
Factor Flexible
Temperatu Sensitive Sensitive
Less Moderate Modera
re to high to high Sensitive Varies
sensitive sensitivity te
Sensitivity temps temps
Moderate Moderate Currently Low to
Cost Moderate Varies Varies
to high to high high moderate
Depend
Environme Concerns Concerns Environme Environme
Potentially Generally s on
ntal about about ntally ntal
less impact favorable chemist
Impact disposal disposal friendly concerns
ry

MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.


HARDWARE AND SOFTWARE OPTIMIZATIONS FOR LOW
POWER VLSI CIRCUITS
Hardware Optimizations:
•Low Power Design Techniques: Hardware optimizations involve
designing the physical components of the VLSI circuit to consume
less power. This includes using low power design techniques such as
clock gating, power gating, and voltage scaling.
•Clock Gating: Involves selectively disabling clock signals to specific
circuit blocks when they are not in use. This helps reduce dynamic
power consumption.
•Power Gating: Involves completely shutting down power to certain
circuit blocks when they are not needed, saving static power.
MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.
•Voltage Scaling: Reducing the operating voltage of the circuit can
significantly reduce power consumption. However, this may impact
performance and reliability.
•Pipeline Optimization: Optimizing the pipeline stages to reduce the
overall power consumption, such as through the use of low-power flip-
flops and latches.

MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.


Software Optimizations:
•Power-Aware Algorithms: Software optimizations involve designing
algorithms and programming techniques that take power consumption
into account. For example, using algorithms that dynamically adjust the
clock frequency and voltage based on the workload.
•Dynamic Voltage and Frequency Scaling (DVFS): Software can
control the operating frequency and voltage of the processor
dynamically based on the workload. This allows the system to scale
power consumption according to the processing demands.
•Task Scheduling: Intelligent scheduling of tasks can ensure that
energy-intensive components are used efficiently. For instance,
scheduling tasks to run on low-power cores when high-performance is
not required.
MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.
•Optimized Code: Writing code in a way that minimizes power
consumption, for example, by reducing unnecessary computations and
optimizing memory access patterns.
•Idle States Management: Ensuring that the system enters low-power
states when idle and quickly returns to an active state when needed.

MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.


1.Power Analysis Tools:
1.PowerArtist (Mentor Graphics): A tool for comprehensive power
analysis, optimization, and management throughout the design
flow.
2.Voltus (Cadence): Focuses on power integrity analysis, including
electromigration and voltage drop analysis.
3.RedHawk (ANSYS): Primarily used for power integrity and
reliability analysis in advanced semiconductor designs.

MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.


1.Power Optimization Tools:
1.Power Compiler (Synopsys): Integrates with the synthesis process
to optimize power consumption at the RTL (Register-Transfer
Level).
2.PowerPro (Cadence): Provides power optimization solutions by
analyzing and optimizing power at various design stages.

MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.


1.Low-Power Synthesis Tools:
1.DC Ultra (Synopsys): A synthesis tool that includes low-power
optimization features for reducing dynamic and static power
consumption.
2.Genus (Cadence): Utilized for logic synthesis and includes features
for low-power design.
2.Power-Aware Simulation Tools:
1.VCS with Power-Aware Simulation (Synopsys): Allows for
simulation considering power states and transitions.
2.Incisive Enterprise Simulator (Cadence): Supports power-aware
simulation for accurate power consumption analysis.
MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.
1.Clock Gating Tools:
1.Conformal Low Power (Cadence): A formal verification tool that
helps verify the correctness of low-power design techniques, such
as clock gating.
2.SpyGlass Power (Synopsys): Assesses power-related issues in the
design and provides recommendations for optimization.
2.Energy Estimation Tools:
1.Wattson (Mentor Graphics): Estimates power and energy
consumption early in the design phase to guide design decisions.
2.PowerArtist (Mentor Graphics): Besides analysis, it also provides
features for power estimation and optimization.

MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.


MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.
MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.
MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.
MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.
CAD Tools for Low Power VLSI Circuits
CAD tools play a crucial role in the design and optimization of low-
power VLSI circuits. They assist designers in various stages, including:

1. Power Estimation:

Estimating dynamic and static power consumption at different levels of


abstraction (RTL, gate-level, layout).
Identifying power-hungry circuits and modules for optimization.
Performing power analysis under different operating conditions.

MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.


2. Power Optimization:

Applying various power reduction techniques like voltage scaling, clock


gating, power gating, multi-threshold voltage CMOS (MTCMOS), etc.
Exploring different design styles and architectures for low power.
Performing power-aware synthesis and placement & routing.

3. Power Verification:
Checking power intent and ensuring compliance with design
specifications.
Performing power simulations and analyzing power integrity.
Verifying the effectiveness of implemented power reduction techniques.
Here are some popular CAD tools for low power VLSI design:
MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.
Commercial:
Synopsys PrimePower
Cadence Voltus
Mentor Graphics Pyxis
ANSYS RedHawk
Magma FineSim
Open-Source:
OpenVera
FreePDK
PowerMill
OpenCircuitDesign
Apache Isis

MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.


Other useful tools:
UPF (Unified Power Format) for specifying power intent
RTL power compilers
Leakage power analysis tools

Choosing the right CAD tool depends on several factors, such as:
Design complexity
Power optimization goals
Budget
Existing design flow

MAHABOOB SUBANI SHAIK ASST PROFESSOR IN ECE,BEC, BAPATLA.

You might also like