0% found this document useful (0 votes)
119 views55 pages

PhD Course Structure in Electronics Engineering

The document outlines the course structure for a PhD program in the Department of Electronics Engineering. It details the various courses offered in the first two semesters, including the course codes, names, credit hours and topics. It also lists the departmental elective courses and open elective courses that can be chosen. The later semesters focus on thesis work with 36 credit hours allotted each semester.

Uploaded by

ravi jaiswal
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
0% found this document useful (0 votes)
119 views55 pages

PhD Course Structure in Electronics Engineering

The document outlines the course structure for a PhD program in the Department of Electronics Engineering. It details the various courses offered in the first two semesters, including the course codes, names, credit hours and topics. It also lists the departmental elective courses and open elective courses that can be chosen. The later semesters focus on thesis work with 36 credit hours allotted each semester.

Uploaded by

ravi jaiswal
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd

Course Structure for PhD

Department of Electronics Engineering

First Semester (Monsoon)


Sl. Designation Course Subject Name L-T-P Credit
No. Code
1 DC-1 ECC500 Advanced Communication Theory 3-0-0 9
2. DC-2* ECC501 Advanced Optical Communication 3-0-0 9
3. DC-3* ECC582 Digital VLSI Circuits Design 3-0-0 9
4. DC-4* ECC580 Mathematical and Simulation Techniques 3-0-0 9
5. HSI500 Research and Technical Communication 3-0-0 S/X

*Option II: Sl. No. 1 to 4 and 6 courses offered in this semester [5thcourse will not be offered].

Second Semester (Winter)

Second Semester (Winter)


Sl. No. Designation Course Code Subject Name L-T-P Credit
1 DE-1 # # 3-0-0 9
2. DE-2 # # 3-0-0 9
3. DE-3/OE-1 # # 3-0-0 9
4. DE-4/OE-2 # # 3-0-0 9
5. DC-1 MANDATORY Research Methodology 3-0-0 9
Total Credit 45
# As decided by respective DSC.

Page | 1
Course Structure for PhD
Department of Electronics Engineering

LIST OF DEPARTMENTAL ELECTIVE COURSES


Course Course
Name of the Courses L T P Credit
Type Code
Departmental Elective-1
DE ECD 569 MOS Device Physics and Modeling 3 0 0 9
DE ECD 504 Computer Communication Networks 3 0 0 9
DE ECD520 Optoelectronic and Photonic Devices 3 0 0 9
DE ECD541 Microwave Measurements 3 0 0 9
Departmental Elective-2
DE ECD505 CAD for VLSI 3 0 0 9
DE ECD561 Advanced Signal processing
DE ECD531 Photonic Integrated Circuits 3 0 0 9
DE ECD508 Microwave Devices and Circuits
Departmental Elective-3
DE ECD560 Analog IC Design 3 0 0 9
DE ECD 562 Current Mode Analog Circuits 3 0 0 9
DE ECD503 Wireless Communication Systems 3 0 0 9
DE ECD502 Estimation and Detection Theory 3 0 0 9
DE ECD522 Nanophotonics 3 0 0 9
DE ECD514 Photonic Sensors 3 0 0 9
DE ECD540 Advanced Antenna Theory 3 0 0 9
DE ECD545 Advanced Engineering Electromagnetics 3 0 0 9
Departmental Elective- 4
DE ECD510 Quantum Computation 3 0 0 9
DE ECD521 Microwave Photonics 3 0 0 9
DE ECD525 Optical and Quantum Computation 3 0 0 9
DE ECD543 Radio Frequency Integrated Circuits 3 0 0 9
DE ECD542 Electromagnetic Interference & Compatibility 3 0 0 9
DE ECD544 Radar Engineering 3 0 0 9
DE ECD564 On-Chip Interconnects 3 0 0 9
DE ECD563 Low Power VLSI 3 0 0 9
DE ECD568 Nanoelectronics 3 0 0 9

OPEN ELECTIVE
Open Elective-1
OE ECO500 Wireless Sensor Networks 3 0 0 9
OE ECO520 Optical Networks 3 0 0 9
OE ECO540 MIC and MMIC 3 0 0 9
OE ECO541 Computational Electromagnetics 3 0 0 9
OE ECO560 Test and Verification of VLSI Circuits 3 0 0 9
OE ECO506 Machine Learning 3 0 0 9
Open Elective-2
OE ECO501 Internet of Things 3 0 0 9
OE ECO521 Design and Analysis of Algorithms 3 0 0 9
OE ECO542 Advanced Microwave Measurement & Instrument 3 0 0 9
OE ECO543 Microwave Remote Sensing 3 0 0 9
OE ECO561 Embedded System Design 3 0 0 9

Page | 2
Course Structure for PhD
Department of Electronics Engineering

Third Semester (Monsoon)


Sl. Course Subject Name L-T-P Credit
No. Code
1 ECC599 Thesis Unit 0-0-0 (S/X) 36

Fourth Semester (Winter)

Sl. Course Subject Name L-T-P Credit


No. Code
1 ECC599 Thesis Unit 0-0-0 (S/X) 36

Fifth Semester (Monsoon)


Sl. Course Subject Name L-T-P Credit
No. Code
1 ECC599 Thesis Unit 0-0-0 (S/X) 36

Sixth Semester (Winter)


Sl. Course Subject Name L-T-P Credit
No. Code
1 ECC599 Thesis Unit 0-0-0 (S/X) 36

Seventh Semester (Monsoon)


Sl. Course Subject Name L-T-P Credit
No. Code
1 ECC599 Thesis Unit 0-0-0 (S/X) 36

Eighth Semester (Winter)


Sl. Course Subject Name L-T-P Credit
No. Code
1 ECC599 Thesis Unit 0-0-0 (S/X) 36

Ninth Semester (Monsoon)


Sl. Course Subject Name L-T-P Credit
No. Code
1 ECC599 Thesis Unit 0-0-0 (S/X) 36

Page | 3
Course Structure for PhD
Department of Electronics Engineering

Tenth Semester (Winter)


Sl. Course Subject Name L-T-P Credit
No. Code
1 ECC599 Thesis Unit 0-0-0 (S/X) 36

<><><><><><><><><><><><><><><>

Page | 4
Course Structure for PhD
Department of Electronics Engineering

Course Course
Name of Course L T P Credit
Type Code
DC ECC 500 Advanced Communication Theory 3 0 0 9

Course Objective
The students will gain advanced knowledge on the physical layer mechanism of the various communication
technologies.
Learning Outcomes
This knowledge will be very much helpful for the students to do the research work in academia and various industries
like Qualcomm, Samsung and Intel etc.

Module Lecture
Topics to be Covered Learning Outcome
No. Hours
1 Geometric representation of signals, Gram-Schmidt 7 Acquire an understanding of the
Orthogonalization, Maximum likelihood procedure for basic probability and linear algebra
detection of a signal in a AWGN channel, Probability of applications for communications.
symbol error, union bound on the probability of error.
representation of narrowband noise, properties of its in-
phase and quadrature components.
2 Advanced Digital Modulation techniques – QPSK, QAM, 10 Develop an understanding about
OQPSK, CPFSK, MSK, , GMSK, Power and bandwidth the digital modulation techniques.
efficiency of different schemes. Noncoherent
Orthogonal Modulation techniques.
3 Carrier phase and symbol timing synchronization 12 Understand the concept of
techniques. Spread Spectrum Modulation – DSSS and multicarrier communication and
FHSS systems, CDMA of DSSS, applications of spread multiuser communication.
spectrum systems. Multicarrier communication –
OFDM, DMT and their real-life application.
4 Receivers for nonideal channel – signal distortion over a 10 Understand the concept of
communication channel (linear distortion / distortion communication receivers with
due to channel nonlinearities/multipath effects/fading), diversity.
equalization techniques. Diversity techniques for
reliable communication over a fading channel
Total 39

Textbook:

1. Proakis, John G., and MasoudSalehi. Digital communications. Vol. 4. New York: McGraw-hill, 2014.

Reference Books:

1. Haykin, Simon S. Digital communications. New York: Wiley, 2010.


2. Lathi, Bhagwandas P. Modern digital and analog communication systems. Oxford University Press, Inc.,
2018. 5th ed.

Page | 5
Course Structure for PhD
Department of Electronics Engineering

Course Course
Name of Course L T P Credit
Type Code
DC ECC501 Advanced Optical Communication 3 0 0 9

Course Objective
The objective of the course is to provide a thorough grounding in advance optical communications to address future
needs of high data rate communications.
Learning Outcomes
At the end of the course, the student must be able to
 Understand basic principles of light propagation and modal analyses of optical fiber.
 Understand the basic operating principles of light sources, detectors.
 Fiber Nonlinearities.
 Understand coherent detection, Noises, Comparison of direct and coherent detection.
 Design optical link, power penalty etc

Module Lecture
Topics to be Covered Learning Outcome
No. Hours
1 Ray theory and Mode theory of optical fibers, linearly 12 Acquire an understanding of the
polarized modes. modes and propagation
Fiber- SMF, MMF, Attenuation and Dispersion in fibers; characteristics of optical fibers
Special fibers.
2 Brief overview of optical transmitter and optical 09 Develop an understanding about
receiver. performance of optical transmitters
Receiver Noise processes, BER measurement, Noise and receivers
measurement for optical communication system,
Optical Losses.
3 Optical Amplifiers, Optical Filters. 04 Understand the working of optical
amplifiers and filters
4 Fiber Nonlinearities: Kerr effects, SPM, XPM, FWM. 04 Obtain the knowledge of various
optical nonlinerities important for
communication
5 Coherent detection: fundamental concept, comparison 06 Understand the concept of
of direct and coherent detection, Noises formulations, coherent detection and various
On-off keying, PSK, DPSK, FSK generation and detection. keying mechanisms
6 Optical transmission Link design, Power budget and rise 04 Get an understanding of designing
time budget. WDM Systems. the complete transmission system
and basics of WDM
Total 39

Textbook:

1. Optical Fiber Communication-principles and practice, J. M. Senior (Prentice hall of India),2014

Reference Books:

1. Optical Fiber Communications, Gerd Keiser, TMH, 4th Edition, 2011


2. Optoelectronics and Photonics, O S Kasap, Pearson, 2013

Page | 6
Course Structure for PhD
Department of Electronics Engineering

Course Course
Name of Course L T P Credit
Type Code
DC ECC 580 Mathematical and Simulation Techniques 3 0 0 9

Course Objective
 The 5 modules of the course exposes the students to some of the popular tools required for optimization,
mathematical analysis, modeling and design through simulations.
 Several commercial software use the various modules forming a part of this course.
Learning Outcomes
Upon successful completion of this course, students will:
 Be able to use MonteCarlo simulations methods, which finds its application in most of the simulations
techniques, such as IC design, communication engineering and quantum mechanics to name a few.
 Be able to pre-process, do feature extraction, and obtain different parameters from the given data.
 Get a framework to compute performance metrics in networking and other similar problems.

Module Lecture
Topics to be Covered Learning Outcome
No. Hours
1 Introduction to the course, Filtering techniques for 10 * A review of signal filtering
signal estimation, Monte Carlo simulation method, Fast methods will be known so that data
computation of Transforms, Tutorials. handling in coming sections
becomes easy.
* Various transforms will be helpful
in processing data smoothly.
2 Root finding techniques, Review of Solution of ordinary 10 * Students will learn to study a data,
and partial differential equations, Numerical methods obtained from observations, and do
for solution of differential equations, Numerical the basic mathematical operations
integration methods, Tutorials. on them.
*Different methods of
differentiation and integration over
data will be studied.
3 Introduction to Finite element method, Boundary point 10 * Students will learn to analyze and
method, Finite difference methods, Discretization of do a comparative study of data sets,
differential equations, Tutorials. in a closed form of applications.
4 Introduction to Variational technique, Finite Difference 10 * Students will learn to apply the
Time Domain method, Mode matching method, above techniques in the domains of
Tutorials. Microwave engineering.
5 Optimization Techniques, Queuing models. 10 * Various optimization algorithms
will be known to students which can
be easily applied to most of the
engineering domain (specially
Communication engg.).
Total 39

Textbook:

1. W. H. Press, S. A. Teukolsky, W. T. Vellerling and B. P.,Flannery.,"NumericalRecipes",Third edition,


Cambridge University Press, UK, 2007.
2. B.S. Grewal, "Higher Engineering Mathematics", 42 nd Edition, Khanna Publishers, India, 2012.

Page | 7
Course Structure for PhD
Department of Electronics Engineering

Reference Books:

1. M. H. Hayes, "Statistical Digital Signal Processing and Modeling", Wiley India Pvt. Ltd.(student
edition), India, 2002.
2. E. Kreyszig, "Advanced Engineering Mathematics", 10 th Edition, John Wiley, USA, 2011.
3. David Kincaid and Ward Cheney, "Numerical Analysis: Mathematics of Scientific Computing", 3rd
Edition, American Mathematical Society, USA, 2010.

Page | 8
Course Structure for PhD
Department of Electronics Engineering

Course Course
Name of Course L T P Credit
Type Code
DC ECC582 Digital VLSI Circuits Design 3 0 0 9

Course Objective
The course is designed to givethe student an understanding of the different design steps required to carry out digital
VLSI (Very-Large-Scale Integration) design in silicon.
Learning Outcomes
Upon successful completion of this course, students will:
 This course covers basic theories and techniques of digital VLSI design in CMOS technology
 In this course, we will study the fundamental concepts and structures of designing digital VLSI systems including CMOS
devices and circuits, CMOS design rules, static and dynamic logic structures, and VLSI architecture.

Module Lecture
Topics to be Covered Learning Outcome
No. Hours
1 Review of basic MOS structure, I-VCharacteristics, MOS as 8 Acquire an understanding of the basic
load, use of Si in VLSI; Sheet resistance of layers, area design of building blocks of digital ICs in
capacitance of layers, CMOS process flow, latch-up in CMOS form MOSFETs and the different
inverter, short channel effects, design rules and layout. processes of their fabrication.
2 Inverter Properties: static nMOS, CMOS and BiCMOS 8 Develop skills to learn the ways of
inverters, design aspect, switching threshold and noise margin designing various circuits of digital IC
concepts and their evaluation, dynamic behavior, power with the help of above MOSFETs in form
consumption; MOSFET scaling - constant-voltage and nMOS, CMOS and BiCMOS
constant-field scaling. arrangements. Ways of changing the
dimensions of device can be also learnt
from here with its effects.
3 CMOS Combinational Logic: static CMOS design, pass 8 Understand the techniques of designing
transistor logic, dynamic logic, speed, power and noise in combinational digital circuits using
dynamic logic, cascading dynamic gates, domino logic, Sheet different logic. Here knowledge can be
resistance of layers, area capacitance of layers, driving large also gain on different parameters and
capacitive loads, propagation delay models of cascaded pass efficiency of designed ICs
transistors, wiring capacitances;
4 CMOS Sequential Logic: static latches and registers, MUX 3 Ability to build various types of CMOS
based latches, S-R FF, dynamic latches and registers; sequential circuits.

5 Clocking of Circuits: Classification of clocking schemes, clock 3 Acquire knowledge in optimization of


distribution techniques, problems in single phase and two clock application techniques.
phase clocking;
6 Subsystem Design: design of ALU building blocks such as adder 8 Develop abilities to apply the digital ICs
and multiplier, area-time trade-off, power consumption; in building efficient computing
Semiconductor Memories: static RAM; dynamic RAM; ROM, equipment. Also able to learn scheming
flash memory all the types of Electronic memories.
Total 39

Textbook:

1. Sung-Mo Kang & Yusuf Lablebici, “CMOS Digital Integrated Circuits, Analysis &Design”, TMH Edition.
Reference Books:

1. John P. Uyemura, “Introduction to VLSI Circuits and Systems”, Wiley-India Edition.

[Link] A. Hodges, Horace G. Jackson and Resve A. Saleh, “Analysis and Design of Digital Integrated Circuits in
deep submicron technology”, TMH Edition.

Page | 9
Course Structure for PhD
Department of Electronics Engineering

3. [Link] , “FPGA based System design”, Pearson.

4. D. A. Pucknell and Kamran Eshraghian, “Basic VLSI Design”, Kluwer Academic Publishers, 2017.

Page | 10
Course Structure for PhD
Department of Electronics Engineering

Course Course
Name of Course L T P Credit
Type Code
DC ECD 569 MOS Device Physics and Modeling 3 0 0 9

Course Objective
 Builds the knowledge-base on the physics of MOS devices which is essential to understand the device characteristics which is
undoubtedly helpful to have a place in the semiconductor industry.
 Provides the foundation for the use of device models in circuit analysis and design tools and motivation for life-long learning
Learning Outcomes
Upon successful completion of this course, students will:
 Learn to apply suitable approximations and techniques to derive the model starting from drift-diffusion transport equations.
 The subject will also offer clues to qualitative understanding of the physics of a new device and conversion of this understanding
into equations

Module Lecture
Topics to be Covered Learning Outcome
No. Hours
1 Semiconductor theory: Evolution of semiconductors, 5 This introduces the subject and
energy band model, Fermi level, Fermi potential, emphasis on its need in
generation and recombination, concept of quasi-Fermi semiconductor industry. Few
level. Poisson’s equation, Transport and Continuity fundamental concepts will also be
equations developed which will be useful for
understanding the other modules
2 MOS transistor structure and operation:Evolution of 5 The anatomy of a MOS structure will
MOSFET, Lilienfield Model, theory and operation, be discussed in this section, with
punch through, MOS intrinsic and emphasis on the physics and
extrinsiccapacitances, Large and small signal models, engineering issues
SPICE model, source/drain resistance evaluation
3 MOS capacitor: C-V characteristics, effect of metal work 8 Students here will gain a detailed
function, oxide and interface trapped charges, concept understanding of a MOS capacitor
of accumulation, depletion and inversion with the help which is the heart of the MOS
of energy band diagrams. Threshold voltage device
4 MOSFET DC models: Pao-Sah model, charge sheet 9 Various MOS DC models will be
model, piece-wise linear model, models for depletion discussed in this module
devices, carrier mobility models in deep-submicron and
nanoscale dimensions, short geometry models
5 Dynamic models: Intrinsic charges and capacitance, 8 This section deals with the AC
Meyer’s model, quasi-static and non-quasi-static model, models of a MOS device
low frequency modeling of MOS transistors, high
frequency modeling of MOS transistors
6 SPICE MOSFET models: Level 1, 2, 3 and 4 models and 4 Students here will come to know
their comparison. Statistical modeling: Model about few SPICE models which will
sensitivity, principal factor method, principal help them to simulate MOS circuits
component analysis, regression models in circuit simulators.
Total 39

Textbook:

1. N. D. Arora, MOSFET Models for VLSI Circuit Simulation, Springer-Verlag ● S.M. Sze & Kwok K.
Ng, Physics of Semiconductor Devices, Wiley
Reference Books:

1. Y. Taur and T.H. Ning, “Fundamentals of Modern VLSI Devices”, Wiley


2. [Link], “Introduction to Semiconductor Materials and Devices”, Wiley India [Link].
rd
3. Y. P. Tsividis, “Operation and Modelling of the MOS Transistor”, McGraw-Hill. 3 Edition.

Page | 11
Course Structure for PhD
Department of Electronics Engineering

Course Course
Name of Course L T P Credit
Type Code
DC ECD504 Computer Communication Networks 3 0 0 9

Course Objective
This course examines the science underpinning computer communications, such as thebasic architectural
principles of computer networking and specifically how the Internetworks today. Covered topics include data
representation, how errors in transmission canbe detected and dealt with, the way information is routed over a
large network, howcongestion can be avoided, aspects of network security.
Learning Outcomes
 Understanding of the most important principles of how computer communication works
 Understanding of protocols and ability to see it in an overall context of communication and the key securityissues
of computer communication
 Be able to explain the most important standards inthe field of computer communication
 Assess different solutions for computer networks
 Be able to implement a simple object-orienteddistributed system.

Module Lecture
Topics to be Covered Learning Outcome
No. Hours
1 Computer Communication Networks - overview 12  To understand the basic concepts
and introduction, The ISO reference Model, of communication and networks.
Network Topologies. Basics of queuing models,  To understand the layered
Connectivity and Delay Analysis architecture in data networks
 To get the exposure of queueing in
data communication with analysis
in the connectivity and delays
2 The Physical Layer, Data Link Layer Protocol with 14  To get the exposure of protocols
Case Studies, Point-to-Point Networks. Routing in lower layers in data
and Flow Control, Packet Communication communication
Technology, Packet Broadcasting, Terrestrial  To understand the connectivity
Networks, Local Area Networks, Mixed Media and and data transfer in different
Large-Scale Integrated Networks. networks with scale of integration
3 Transport and Session Layers, Presentation Layer 07  To get the exposure of protocols
Protocols and Data Link Layer Concepts of in upper layers in data
Distributed Systems, Computer Networks and a communication
Distributed System.  To understand the concept of
distributed networks and the inter
connectivity among different
networks
4 Fibre Optic Network, Examples and Case Studies. 06  To understand the concept of
backbone networks
Total 39

Text book:

1. Nader F. Mir, “Computer and Communication Networks”, Prentice Hall, Dec 2014.
Reference books:

1. Kurose, Ross: Computer Networking - A Top-Down Approach 5th edition, Pearson (2010).
2. M Barry Dumas, Morris Schwartz, “Principles ofComputer Networks and Communications”, Pearson
Education, January 2012.
3. William Stallings, “Data and Computer Communication”, 10th Edition, Pearson Education, 2013.
4. ArshdeepBahga and Vijay Madisetti "Internet of Things: A Hands-on Approach", Universities Press,
2014

Page | 12
Course Structure for PhD
Department of Electronics Engineering

Course Course
Name of Course L T P Credit
Type Code
DC ECD520 Optoelectronic & Photonic Devices 3 0 0 9

Course Objective
The objective of the course is to provide fundamentals of different semiconductor optoelectronicdevices employed
in lightwave systems and networks. The course will help students meet the demand of growing semiconductor
optoelectronic industry and prepares them to advanced study and research in the semiconductor optics and
optoelectronics devices.

Learning Outcomes
At the end of the course, the student must be able to
 Understand the basic principles of optoelectronics.
 Learn about the construction and working principle of high speed optoelectronics and photonics devices
such as high speed laser diode MZI, MZM, EAM, and SOA for design of high speed communication system,
microwave photonic system.

Module Lecture
Topics to be Covered Learning Outcome
No. Hours
1 Introduction: Distinction between electronic, 5 Acquire an understanding of the
optoelectronic and photonic devices; Electrical and basics of optoelectonics
optical bandwidth.

2 Semiconductor Detectors – Structure and noise analysis 12 Develop an understanding about


of PIN and APD detectors, Solar cells. principles of operation and
Semiconductor Sources- LEDs, LDs (Double performance of optical detectors
heterojunction, DFB, Quantum wire & dot). and sources
3 Modulators – Electro-optic and magneto-optic. 6 Understand the working of
Semiconductor amplifiers. semiconductor optical amplifiers
and modulators
4 Photonic Devices: Fiber Amplifiers and Fiber Lasers. 12 Obtain the knowledge of various
Optical Filters, Fiber Bragg grating (FBG) and its fiber based devices and
application as dispersion compensator and Add-Drop components like fiber amplifiers,
Multiplexer. lasers and fiber Bragg gratings

5 MZI and its applications. Optical Switches. 4 Understand the applications of MZI
for modulation and switching
Total 39

Textbook:

1. Fundamentals of Photonics, B. E. A. Saleh and M. C. Teich, Wiley-India, 2007.

Reference Books:

1. Optoelectronics and Photonics, S. [Link], Pearson, 2012.


2. Semiconductor Optoelectronic Devices, P. Bhattacharya ,Pearson, 2017

Page | 13
Course Structure for PhD
Department of Electronics Engineering

Course Course
Name of Course L T P Credit
Type Code
DC ECD541 Microwave Measurements 3 0 0 9

Course Objective
The course aims to present the different techniques for measurement and characterization of circuits and
antennas for applications in the microwave frequency bands. The student will basically learn how to select the
most appropriate instruments and components to organize a measurement setup for a given circuit/microwave
property. Moreover, he/she will be able to conduct autonomously some standard measures.
Learning Outcomes
Upon successful completion of this course, students will:
 Understanding of setup the basic and some advanced microwave measurement setup for the
characterization of active and passive devices.
 have idea to find the permittivity and permeability of unknown materials.
 be able to understand the working of different instruments like Vector Network Analyzer, Spectrum
Analyzer, Power Meter, etc.
 be able to measure the different antenna parameters.

Module Lecture
Topics to be Covered Learning Outcome
No. Hours
1 Review of measurement and 12
instrumentation basics, Permittivity
Understanding of microwave test bench setup
measurement (two-point method, cavity
and measurements of different material
perturbation method, etc.), Permeability
parameters such as permittivity and
measurement, Measurement of Q factor
permeability. Idea to find out the Q-factor and
(Loaded, unloaded and External Q factor),
its mathematical analysis.
transmission line methods and resonance
methods).
2 Impedance (Double minima method, Smith 10
This unit will help student in understanding
Chart, Byrne Bridge, directional coupler
the Impedance calculation through Smith
method, Probe method), frequency and
Chart. Furthermore, student will also learn the
phase measurement, VSWR and power
frequency, power and phase measurements.
measurement
3 Antenna measurement (Far Field 5 Students will familiarize with different
measurement, Gain measurement, return parameters of antenna and also learn the
loss and VSWR measurement). measurement setup to measure these
parameters.
4 Vector network analyzer (VNA), Calibration 12 Student will familiarize the working
techniques, passive and active circuit mechanism through internal structure of
characterization using network analyzer, different state of art instruments.
Spectrum analyzers, characteristic of Understanding of relevant mathematical
spectrum analyzer. modelling with respect to these instruments.
Total 39

Text Books:

1. Handbook of Microwave Measurements, by Max Sucher, Jerome Fox, Volume: I, II, III, 1963.

Reference Books:

Page | 14
Course Structure for PhD
Department of Electronics Engineering

1. Electronics Measurements by Terman & Pettit, 2nd edition, 1952.


2. Dielectric Materials and Applications by A. R. Von Hippell, 1995.
3. Practical Radio frequency test and Measurement by Joseph J. Carr, 1 st edition, 2002.
4. David Pozar, Microwave Engineering, 3rd edition, (Wiley, 2005).
5. Technical Notes/Application Notes of various devices

Page | 15
Course Structure for PhD
Department of Electronics Engineering

Course Course
Name of Course L T P Credit
Type Code
DC ECD505 CAD for VLSI 3 0 0 9

Course Objective
With this course students will learn the fundamentals of Computer-Aided Design (CAD) tools for the modeling, design,
analysis, test, and verification of digital VLSI systems. This is a demanding topic for industries working in VLSI domain.
Learning Outcomes
Upon successful completion of this course, students will:
 Acquire knowledge about CAD tools used for digital VLSI design, digital logic simulation and physical
design, including test and verification.
 Model digital systems at different levels of abstraction.
 Simulate and verify a design.
 Transfer a design from a version possible to simulate to a version possible to synthesize.
 Develop understanding of FPGA CAD flow for design and implementation.

Module Lecture
Topics to be Covered Learning Outcome
No. Hours
1 Evolution of design automation; CMOS realizations of 8 Acquire an understanding of need
basic gates. Behavioral, structural and physical models, and evolution of CAD tools for
design flow, Types of CAD tools, introduction to logic digitaldesign flow.
simulation and synthesis.
2 Syntax, hierarchical modeling, HDL construct, simulator 11 Learnabout the basic syntax,
directives, instantiating modules, gate level modeling, hierarchical modeling style and
Event based and level sensitive timing control, memory event control using HDL.
initialization, conditional compilation, time scales for
simulation.
3 Delay, switch level modeling, user defined primitive 8 This unit helps the students to learn
(UDP), memory modeling, Static timing analysis. modeling of a digital hardware at
transistor/switch level, modeling
memory and analyze various timing
problems usually occurred in a
digital system.
4 Logic synthesis of HDL construct, technology cell 7 This unit helps the students to
library, design constraints, Synthesis of HDL understand synthesis of a digital
construct, Various optimization techniques, design hardware, various constraints
size. required and various optimization
techniques useful for synthesis.
5 Commercial FPGA architecture, LUTand routing 5 Learn about FPGA architecture, CAD
architecture, FPGA CAD flow, Typical case studies. flow for FPGA based design.
Total 39

Textbook:

1. S. H. Gerez, “AlgorithmsforVLSIDesignAutomation”, John Wiley&Sons Publisher, 2 nd Edition, 2008.


2. [Link], “Digital Design and Implementation with Field Programmable Devices”, 1stEdition,
KluwerAcademic Publishers, 2005.

Reference Books:

1. Samir Palnitkar, “Verilog HDL: A Guide to Digital Design and Synthesis”, 2 ndEdition, Pearson
Publishers.

Page | 16
Course Structure for PhD
Department of Electronics Engineering

2. Giovanni De Micheli, “Synthesis and Optimization of Digital Circuits”, McGraw Hill Publisher,1994.
3. Naveed Shervani, “Algorithms for VLSI Physical Design Automation”, Springer International Edition,
3rd Edition, 2005.
4. WayneWolf, “FPGA-BasedSystemDesign”,PearsonPublisher,2004.

Page | 17
Course Structure for PhD
Department of Electronics Engineering

Course Course
Name of Course L T P Credit
Type Code
DE ECD561 Advanced Signal Processing 3 0 0 9

Course Objective
This course will provide the basic knowledge of discrete signal processing techniques.

Learning Outcomes
 At the end of the course, the student must be able to apply these techniques in the research filed of wireless
communication, Image Processing, Speech Processing, audio processing etc.

Module Lecture
Topics to be Covered Learning Outcome
No. Hours
1 Introduction, review of basic signal processing 5 * Basic signal handling techniques
operations, will be revisited.
Filtering, Transforms, Fast computation of transforms. * Importance of filtering and
transforms will be known.
2 Discrete-time Random Signals, Digital Processing of 10 * Students will learn to process
Analog Signals, Oversampled A/D Conversion – with and analog and discrete-time signals
without noise shaping. using discrete-time methods.
3 Equiripple FIR Filters, Signal Modelling, Spectrum * Advanced filtering techniques will
Estimation, Optimum Filtering – FIR and IIR filters be knowm
4 Stochastic process and models, Wold Decomposition, 10 * Sochastic process and basic
Yule Walker equations, Auto regressive process. models for analyzing them will be
learned.
5 Wiener filter, Adaptive filters(LMS, RLS, Kalman filters). 10 * Specific (most used) stochastic
filters will be learned in this module.
6 Introduction to wavelet transform and its applications. 5 * students will be knowing basic of
wavelet transforms which finds
application in many modern
applications(speech and audio
processing, music processing, image
processing etc.).
Total 39

Textbook:

1. Hayes, Monson H. Statistical digital signal processing and modeling. John Wiley & Sons, 2009.

Reference Books:

1. Oppenheim, Alan V., and Ronald W. Schafer. Discrete-time signal processing. Pearson Education, 2014.
2. Rao, Raghuveer M. Wavelet transforms: Introduction to theory and applications. Pearson Education
India, 1998.

Page | 18
Course Structure for PhD
Department of Electronics Engineering

Course Course
Name of Course L T P Credit
Type Code
DC ECD531 Photonic Integrated Circuits 3 0 0 9

Course Objective
The objective of the course is to provide a thorough grounding in Photonic Integrated Circuits to address future needs
of high-speed optical interconnect.
Learning Outcomes
At the end of the course, the student must be able to
 Design and analysis all types of optical waveguides for photonic integrated circuits.
 Understand concept of photonic waveguide components and applications.
 Learn fabrication and characterization technology.

Module Lecture
Topics to be Covered Learning Outcome
No. Hours
1 Introduction and requirement of PICs; Optical 12 Acquire an understanding of the
Waveguides: Planar slab waveguides, symmetric and modes and propagation
asymmetric waveguides; rectangular waveguides, characteristics of optical
Marcatili’s method, Effective index method; graded waveguides.
index waveguides; loss in planar slab waveguide;
Coupled mode theory and applications.
2 Numerical techniques and simulation tools for analyzing 05 Understand about the Numerical
PICs; methods for optical waveguides.

3 Photonic waveguide components and applications - 10 Understand the working principle of


couplers, multimode interference-based couplers, optical passive components and its
tapers, bends, y- branch, gratings, switches, polarizers, applications
filters, resonators, multiplexer/demultiplexer, optical
Integrated optical systems and applications, optical
interconnects.
4 Technology: materials-glass, lithium niobate, silicon, 08 Acquire an understanding of the
compound semiconductors, polymers; fabrication material, fabrications and
techniques - lithography, ion-exchange, deposition, characterization of photonics
diffusion process, and device characterization, componets/devices.
packaging and environmental issues.
5 More recent developments in PICs 04 Acquire an understanding of recent
developments in PICs as thermal
optical switches for data centers.
Total 39

Textbook:

1. C R Pollock and M Lipson: Integrated photonics, Kluwer Academic Pub, 2003

Reference Books:

2. Govind P Agrawal: Lightwave technology: component and devices, John Wiley , 2004
3. Katsunari Okamoto: Fundamentals of Optical Waveguides Academic Press 2006

Page | 19
Course Structure for PhD
Department of Electronics Engineering

Course Course
Name of Course L T P Credit
Type Code
DE ECD508 Microwave Devices and Circuits 3 0 0 9

Course Objective
The course aims to make a bridge between the different practical requirements of communication in microwave
frequency and design of microwave components & systems. So, students can understand the application domain
of different microwave components which they study extensively.

Learning Outcomes
1. Understanding the design concept of various RF/Microwave devices.
2. Knowledge of Microwave Circuit Analysis and Impedance matching.
3. Understanding the behavior of non-linear RF/Microwave Devises.
4. Ability to design discrete RF/ Microwave Devices.

Module Lecture
Topics to be Covered Learning Outcome
No. Hours
1 Wilkinson power divider, Coupled line 15
Understanding of basic microwave power
directional coupler, Lange coupler,
dividers and coupler though transmisson line
Coupled line filter, Coupled resonator
concepts. Idea to find out the coupled lines
filter, Capacitive coupled filter.
and its mathematical analysis.
2 Tunnel diode, TRAPATT diode, pin diode, 10 This unit will help student in basic
Varactor diode, Introduction to parametric components and its physics. Furthermore,
amplifier, Manley-Rowe power relation, student will also learn the application of these
HEMT, HBT. devices with its limitations such as frequency,
power and phase.
3 Microwave detectors and mixers, 5 Students will familiarize with different
Microwave amplifiers, Microwave parameters of active components and also
oscillators. learn the synthesis of the mixer and
amplifiers.
4 Reflex klystron, two cavity klystron, Helix 12 Student will familiarize with fundamentals of
TWT, Coaxial Magnetron, Inverted coaxial the klystron and magnetron. Understanding of
magnetron and linear magnetron. relevant mathematical modelling and physical
desccriptions also.
Total 39

Text Book:

1. Microwave Engineering, by David M. Pozar, Wiley International, Fourth Edition, 2012.


Reference Book:

1. Foundation of Microwave Engineering, by R. R. Collin, Wiley International, Second Edition, 2001.


2. Microwave Devices and Circuits, by Samuel Liao, 3rd edition, 1990.
3. Microwave devices, circuits and subsystems for communications engineering, by Ian A. Glover, Steve
Pennock, Peter Shepherd, 1st edition, 2007.

Page | 20
Course Structure for PhD
Department of Electronics Engineering

Course Course
Name of Course L T P Credit
Type Code
DC ECD560 Analog IC Design 3 0 0 9

Course Objective
The objective of the course is to present exclusively the Analog Integrated Circuits based on CMOS. It emphasizesthe
understanding of the necessary knowledge in the subject and steps wise design aspect of VLSI design in Silicon.
Learning Outcomes
Upon successful completion of this course, students will:
 have a broad understanding of MOSFET models and its various important parameters.
 have an in-depthunderstanding of actively loaded CMOSamplifiers.
 have a broad understanding to tackle noise, effect of frequency,effect of non-ideality, and power aspect.
 be able to design various operational amplifiers with reliable performance using voltage referencing circuit.
 be able to design differential amplifiers and operational amplifiers effectively.

Module Lecture
Topics to be Covered Learning Outcome
No. Hours
1 Analog circuits in VLSI, overview of circuit performance 7 This will help students to
comparison in BJT, BiCMOS, and CMOS; CMOS device understand the principle of
fundamentals: Basic MOS Models, device capacitances, MOSFET, various effects, including
parasitic resistances, substrate models, speed,area, and noise.
transconductance, output resistance, CLM, body
effect,fT, device parameters in subthreshold,noise.
2 Analog building blocks: MOS current mirror, cascade 9 This unit will help students in
current mirrors, BW analysis of current mirrors, output understanding various types of
impedance of CM, use of CM as active load,bandgap CMs, their o/p imp.,andBW,
references (BGR)circuit, impact of device mismatch. including applications in
[Link] and uses of BGR will
also be evident.
4 Single stage amplifier (SSA) configurations, cascode 6 This unit will help students to
stage, Transconductance amplifier, frequency response. calculate various SSA parameters
like gain, transconductance, and
BW.
5 Differential amplifiers with MOS Loads, device 7 This will help students to design less
mismatch effects, frequency response of differential noisy and high CMRR differential
amplifiers, folded cascode amplifier, noise in differential amplifier.
amplifier.
6 Op-amp: Performance parameters, one &two-stage op- 10 In this unit, students will know
amps, pole-zero compensation, gain boosting, active about the design of complete
compensation, input range, slew rate, noise in op-amp, operation amplifier by using
Current mode circuits: introduction, internal structure, knowledge gained in previous
applications, Non-linear analog blocks: Comparators, [Link], student will get
charge pump circuits and multiplier; non-linearity basic knowledge on nonlinear
cancellation in MOS circuits, noise in VLSI circuits, blocks, non-linearity cancellation
introduction to switched capacitor circuits. and switched capacitor circuits
Total 39
Text Books:

1. Design of Analog CMOS Integrated Circuits, BehzadRazavi, McGraw Hill Indian, 2nd Edition ( 2017)
Reference Books:

1. CMOS Circuit Design: Layout and Simulation, R. Jacob Baker, Wiley IEEE Press, 3rd Edition (2010)
2. CMOS Analog Circuit Design, E. Allen & Douglas R. Holberg, Oxford Press Int. Edition, 3rd Edition
(2012)

Page | 21
Course Structure for PhD
Department of Electronics Engineering

Course
Course Code Name of Course L T P Credit
Type
DE ECD562 Current Mode Analog Circuits 3 0 0 9

Course Objective
The objective of this course is knowthe advantage of current mode circuit over voltage mode counterparts, different
analog building blocks based on current mode approach and their applications in signal processing circuit and VLSI
design and engineering.
Learning Outcomes
Upon successful completion of this course, students will:
 Introduction and comparison of current mode circuits over voltage mode counterparts.
 Trans-linear principle for current mode circuits along with concept of nullator and norator.
 Properties of different current mode analog building blocks utilizing BJT & CMOS techniques.
 Application of current mode approach in VLSI circuits.

Module Lecture
Topics to be Covered Learning Outcome
No. Hours
1 Introduction to current mode circuits: Introduction, 4 Acquire an understanding of the
comparison of current mode circuits with voltage mode current mode circuits and voltage
circuits mode circuits
2 Current mode circuits: Principle of operation, trans- 6 Understand the fundamentals,
linear principle, concept of nullator and norator, characteristics and trans-linear
advantages, applications; principle of Current mode circuits
Some current mode circuits: vector difference circuit, TL
one quadrant squaring circuit, absolute value circuit, TL
multiplier/divider.
3 Some BJT and MOS based current mode Building blocks: 11 Understand the functioning of BJT
CCI, CCII, CCCII, CCCII (-IR), OTRA, internal structures, and MOS based current
principle of operation; port relationship, analysis and modeBuilding blocksand derive
applications; their characteristics
Multi-output current conveyors: Construction, withthereapplications.
advantages, applications
4 Transconductance Amplifier: Internal structure and 10 Understand the functioning of OTA,
analysis, use of transconductance amplifier as variable deriving their characteristics and
resistance, inductance simulator, oscillator and filter, there applications as filters and
oscillators.
5 Non-linear applications: Schmitt trigger, multiplier; 8 Understand the use of current mode
Operational Mirror Amplifier(OMA): principle of approach for designing and
operation, applications as voltage controlled current development of non-linear
source, current controlled current source, voltage amplifiers.
controlled voltage source, current controlled voltage
source, high CMRR instrumentation amplifier.

Total 39
Textbook:

1. Analogue IC design : the current-mode approach by C. Toumazou, F.J. Lidgey& D.G. Haigh , Institution
of Engineering and Technology, 2011.
Reference Books:

1. Current Feedback Operational Amplifiers and Their Applications, Senani, R., Bhaskar, D., Singh, A.K.,
Singh, V.K., Analog Circuits and Signal Processing, 2013.
2. Current-Mode VLSI Analog Filters: Design and Applications, Mohan, P.V. Ananda, Springer, 2003.
3. CMOS Current-Mode Circuits for Data Communications, Fei Yuan, Springer, 2007

Page | 22
Course Structure for PhD
Department of Electronics Engineering

Course Course
Name of Course L T P Credit
Type Code
DE ECD 503 Wireless Communication Systems 3 0 0 9

Course Objective
This course will provide the fundamental mechanism behind the wireless communication techniques (3G, 4G, 5G).
Learning Outcomes
At the end of the course, the student must be able to acquire knowledge on various wireless communication
technologies which is very much helpful for academia research and Industries working in wireless communication
technologies.

Module Lecture
Topics to be Covered Learning Outcome
No. Hours
1 Introduction and evolution of wireless and mobile radio 8 Acquire an understanding of the
communication system. Salient features of 2G, 3G and basic cellular concept with capacity
4G Cellular networks, Cellular concept system design and handoff theory.
fundamentals, Frequency reuse, Handoff, Interference
and system capacity, Trunking and Grade of Service,
Improving coverage and capacity in cellular systems
2 Mobile Radio Propagation- Large-Scale Path Loss: 7 Develop an understanding about
Introduction, Free Space Propagation Model, Log- the large-scale propagation path-
distance Path Loss Model, Log-Normal Shadowing, loss model.
Coverage area, Outdoor Propagation Models, Indoor
Propagation Models
3 Small Scale Fading and Multipath: Impulse Response 8 Understand the concept of small-
Model of a Multipath Channel, Parameters of Mobile scale fading of wireless
Multipath Channels, Types of Small-Scale Fading (Flat, communications.
Frequency-Selective, Fast, Slow Fading), Rayleigh and
Ricean Fading
4 Digital Modulation: Pulse Shaping Techniques, BPSK, 10 Understand the concept of BER for
DPSK, QPSK, Offset QPSK, π/4 QPSK, BFSK, MSK, GMSK, wireless channel using various
M-ary PSK, M-ary QAM. Derivation of Probability error. digital modulation techniques.

5 Introduction to MIMO OFDM Technique, with the 6 Develop an under stationg about
concept of diversity and detection techniques. the MIMO based 4G technology.

Total 39

Textbook:

1. Rappaport, Theodore S. "Wireless communications: Principles and practice." (2002).


2. Goldsmith, Andrea. Wireless communications. Cambridge university press, 2005

Reference Books:

1. Tse, David, and PramodViswanath. Fundamentals of wireless communication. Cambridge university


press, 2005.

Page | 23
Course Structure for PhD
Department of Electronics Engineering

Course Course
Name of Course L T P Credit
Type Code
DE ECD 502 Estimation and Detection Theory 3 0 0 9

Course Objective
This course deals with the various estimation and detection techniques that are used in signal processing.
Learning Outcomes
At the end of the course, the student must be able to do research in the designing of estimation framework for the
various signal processing applications.

Module Lecture
Topics to be Covered Learning Outcome
No. Hours
1 Gaussian variables and processes, Minimum variance 10 Acquire an understanding of the
unbiased estimation, Fisher information matrix, basic probability theory and
Cramer-Rao bound sufficient statistics, minimum unbiased estimator
statistics, complete statistics.
2 linear models; best linear unbiased estimation; 11 Develop an understanding about
maximum likelihood estimation, invariance principle; the concept of classical estimator
estimation efficiency. Bayesian estimation, risk and Bayesian Estimator.
functions, minimum mean square error estimation,
maximum a posteriori estimation; Discrete-Time Linear
Bayesian estimation, stochastic approximation.
3 signal detection and signal parameter estimation in 10 Understand the concept of
discrete-time domain. Bayesian, minimax, and Neyman- detection theory with hypothesis
Pearson detection; likelihood ratio, receiver operating testing.
characteristics, composite hypothesis testing. Locally
optimum tests, detector comparison techniques,
asymptotic relative efficiency.
4 Matched filter detector and its performance; detection 8 Understand the concept of
under colored noise, detection under Non-Gaussian detection theory for non-Gaussian
Noise, generalized matched filter; detection of sinusoid noise scenario.
with unknown amplitude, phase, frequency and arrival
time
Total 39

Textbook:

1. Kay, Steven M. "Fundamentals of statistical signal processing, volume i: Estimation theory PTR
Prentice-Hall, Englewood Cliffs, 201
2. Kay, Steven M. "Fundamentals of statistical signal processing, Vol. II: Detection Theory." Signal
Processing. Upper Saddle River, NJ: Prentice Hall, 2010.

Reference Books:

1. Levy, Bernard C. Principles of signal detection and parameter estimation. Springer Science & Business
Media, 2008.

Page | 24
Course Structure for PhD
Department of Electronics Engineering

Course Course
Name of Course L T P Credit
Type Code
DE ECD522 Nanophotonics 3 0 0 9

Course Objective
The objective of the course is to provide the fundamental concepts of optical effects in nanoscale systems and
coupled light-matter systems, particularly as they apply to semiconductor nanostructures and microcavities.

Learning Outcomes
On successful completion of this module, students will be able to:
 Understand Basics of quantum mechanics and electrons in solids
 Acquire the knowledge of principles of nanoplasmonics
 Understand the principles and applications of the interaction of light with periodic nanostructures.

Module Lecture
Topics to be Covered Learning Outcome
No. Hours
1 Basics of quantum mechanics: quantum particles and 11 Acquire an understanding of the
EM wave, wavelengths and dispersion laws, density of essential quantum mechanics
states, uncertainty relation, wave function and
Schrödinger equation, quantum particle in complex
potentials.
Wave mechanics and wave optics: propagation over
wells and barriers, propagation through potential
barriers, Evanescent waves and tunneling.
2 Electrons in solids (periodic structure): Bloch waves, 12 Develop an understanding about
electron band structure, Brillouin zones, quasi particles the behavior of electrons in solids
(holes, excitons, polaritons), defect states, quantum and light matter interaction
confinement effects, quantum wells, wires and dots.
Semiconductor nanocrystals, electron-hole states,
absorption spectra, luminescence, applications e.g., QD
laser, nonlinear optics, electro-optical properties.
3 Nano-plasmonics: optical properties and response of 08 Understand the principles of
metal nanoparticles, size-dependent absorption and nanoplasmonics
scattering, metal dielectric nanostructures,
electromagnetic fields near metal nanoparticles,
optical response of metal-dielectric core-shell nano-
composites.
4 Light in periodic structure: concept of photonic 08 Obtain the knowledge periodic
crystals, Bloch waves and bandstructure in 1-D periodic nanostructures and their optical
structures, 3-D multilayer slabs, band gap and band properties
structures in 2-D and 3-D lattices, multiple scattering
theory of periodic structures, nonlinear optics and
photonic crystal.
Total 39

Textbook:

1. Introduction to Nanophotonics, Sergey V. Gaponenko, Cambridge University Press, 2010.

Page | 25
Course Structure for PhD
Department of Electronics Engineering

Reference Books:

1. Fundamentals of Quantum Mechanics For Solid State Electronics and Optics, C. L. Tang, Cambridge
University Press, 2009.
2. Principles of Nano-Optics, Lukas Novotny and Bert Hecht, Cambridge University Press, 2012.
3. Principles of Nanophotonics,MotoichiOhtsu, Kiyoshi Kobayashi, Tadashi Kawazoe, Takashi Yatsui, and
Makoto Naruse, CRC Press, 2008.

Page | 26
Course Structure for PhD
Department of Electronics Engineering

Course Course
Name of Course L T P Credit
Type Code
DE ECD514 Photonic Sensors 3 0 0 9

Course Objective
The objective of the course is to deliver a concine introduction of optical fiber and optoelectronics sensors. Itprovide
high sensitivity and detection accuracy along with the additional benefits of remote sensing, miniaturization, low cost
and online monitoring. The objective of this course is to introduce the students the field of photonic sensors and its
application.
Learning Outcomes
Upon successful completion of this course, students will:
 To describe the concept used in the designing of sensors.
 To explain the working principle of various optoelectronic sensors.
 To explain the applications of various types of optical sensors

Module Lecture
Topics to be Covered Learning Outcome
No. Hours
1. Introduction: Use of optical fiber as sensor. Sensing using 12 Acquire an understanding fiber
optoelectronics. Advantages of fiber optic sensors, few examples. sensors.
Intensity, phase and polarization based fiber optic sensors for
measurement of temperature, pressure, strain, acceleration,
displacement and velocity. Evanescent field absorption based
sensors, different probing techniques and derivation of sensitivity
in each cases. Characteristics and components of optical fiber
sensors. Fibre types and materials for optical fibre sensing (silica
based, polymer based, etc.). Intensity based Reagent mediated
sensors for humidity, pH level etc. and their experimental set-ups.
2. Interferrometry based and FBG based sensing technology: LPG, 8 Get an understanding of the
SPG, microfibres/nanowires, Mach-Zhender, Sagnac, Michelson theory and concept of
Interferrometers - Design, fabrication and characterization of Interferrometry based and FBG
sensors. based sensing technology.
3. Hydrogen leakage sensing in cryo engines. Fiber Optic Gyroscope 4 Learn about the Hydrogen
for navigation application. leakage sensing.
4. Physics of plasmons, surface plasmons at semi-infinite metal- 8 Acquire an understanding of
dielectric interface, excitation of surface plasmons, surface Physics of plasmons, SPR.
plasmon resonance (SPR) condition, Theory of SPR based optical
fiber sensors, N-layer model, excitation by meridional rays: on axis
excitation, performance parameters: sensitivity, detection of
accuracy and figure of merit. SPR based FBG sensor.
5. Electro-optic sensors and its applications. Micro-opto-electro- 4 Acquire an understanding of
mechanical Systems (MOEMS): MOEM overview, MOEM Electro-optic sensors and its
scanners, MOEM technology and applications to telecom, CMOS applications. Micro-opto-
compatible MOEMS, optics specific issues for MOEMS, micro- electro-mechanical Systems
optics, automation and sensing, shape. (MOEMS.
6. Principles and application of optical fibre sensors in medicine and 3 Learn about the optical fibre
life sciences, civil engineering, e.g. structural monitoring and sensors in medicine and life
aircraft navigation. sciences, civil engineering, e.g.
structural monitoring and
aircraft
Total 39
Textbook:

1. Fiber Optic Sensors – Principle and Applications by B. D. Gupta, New India Publishing Agency 2006.
Reference Book:

Page | 27
Course Structure for PhD
Department of Electronics Engineering

1. Fiber Optic Sensors, An Introduction for Engineers and Scientists edited by Eric Udd, William B.
Spillman, Jr., John, Wiley and Sons Inc. Publication 2011

Page | 28
Course Structure for PhD
Department of Electronics Engineering

Course Course
Name of Course L T P Credit
Type Code
DE ECD540 Advanced Antenna Theory 3 0 0 9

Course Objective
This course will enable the students to study Antennas/Antenna array & their characteristics and propagation
patterns. It will expose students to application of particular antenna in particular communication system, and to
make them aware of design guidelines and analysis of different
LearningOutcomes
At the end of this module, students are expected to be able to
1) Recognize the different types of antennas & their utilization as required in different communication
systems
2) Classify and analysis antennas with applications
3) Comprehend EM wave propagation effects & pattern in different media

Module Lecture
Topics to be Covered Learning Outcome
No. Hours
1 Introduction to Antenna: Antenna Types, Radiation 10 This unit will help students to get
mechanism, Fundamental parameters of Antennas. information about different
Radiation Integrals and Auxiliary Potential Functions: parameters of Antennas.
Vector Potential for Electric and magnetic Current
Sources, Electric and Magnetic fields for Electric and
Magnetic Current Sources, Solution of Inhomogeneous
vector Potential Wave Equation, Far Field radiation,
Duality Theorem, Reciprocity and Reaction Theorem.
2 Wire and Loop Antenna: Infinitesimal dipole its 10 This unit will help students in
radiation field, small dipole, finite length dipole, half understanding different single
wave length dipole, and their applications. Comparison element antennas.
of small loop with short dipole, Loop antenna radiation
pattern its parameters and their application.
3 Antenna Array analysis and Synthesis: Linear arrays, 10 This unit will help students in
Array of two and N- isotropic point sources, principle of understanding different array
pattern Multiplication, linear arrays of n elements, antennas.
broadside, End-fire radiation pattern, directivity,
Beamwidth and null directions, array factor. Mutual
impedance between Linear Elements, Mutual Coupling
in Arrays.
4 Analysis of microstrip patch, slot antenna, analysis of 9 This will help in designing modern
aperture antenna and antenna array, Antenna RCS, and antennas.
RCS reduction.
Total 39

Text Book:
1. C. A. Ballanis , "Antenna Theory, Analysis and Design " , John Wiley & Sons, Third edition , 2005.

Reference Books:
2. John D. Kraus and RonalatoryMarhefka, "Antennas and wave propagation", Tata McGraw-Hill Book
Company, 2002.
3. [Link] and Balmain, "Electro Magnetic Waves and Radiating Systems", PHI, 1968, Reprint
2003.
4. L.V. Blake and M.W. long, “Antennas, Fundamentals, Design, Measurement” Third Edition, SciTech
publishing, 2009.

Page | 29
Course Structure for PhD
Department of Electronics Engineering

Course Course
Name of Course L T P Credit
Type Code
DC ECD 545 Advanced Engineering Electromagnetics 3 0 0 9

Course Objective
To familiarize the students with the basic electromagnetism and formulation of boundary value problem with
respect to real time situation. The course prepares the first year PG students where the advance topics like rigours
analysis of metallic and dielectric waveguide with Green’s function, application of EM theorems, wave solution
and reflection and transmission of multiple interfaces will be covered, particularly those including an in depth
description.
Learning Outcomes
Upon successful completion of this course, students will:
 able to solve challenging boundary value problems involving waveguide, stripline, cavity and scattering
and radiation problems.
 Understanding of the basic and advanced topics related to dieletric and metallic waveguide.
 have idea to find the wave solution and reflection and transmission of multiple interfaces will be covered.

Module Lecture
Topics to be Covered Learning Outcome
No. Hours
1 07 Understanding of basic
Maxwell’s Equation, Circuit field relations, Boundary
electromagnetism and formulation of
conditions, Power Energy and Time harmonic
boundary value problem with respect
electromagnetic fields.
to real time situation
2 10 This unit will help student in
Transverse EM modes, Uniform plane wave in
understanding the wave solution and
unbounded lossless media, Principal axis, Oblique
reflection and transmission of multiple
angle, Transverse EM modes in lossy media,
interfaces, student will also learn the
Polarization, Reflection and transmission across an
application of Polarization, Reflection
interface, Reflection and transmission of multiple
and transmission of EM wave in real
interfaces
world problems.
3 Wave equation and solution, Auxiliary vector 10
potential Construction of solution, Solution of
Students will able to solve challenging
inhomogeneous vector potential wave equations,
boundary value problems involving
Far field radiation and scattering equations with
waveguide, stripline, cavity and
Antenna concept, Rectangular waveguides and its
scattering and radiation problems.
EM analysis, Partially filled waveguide, Transverse
resonance method, Dielectric waveguide.
4 Duality theorem, Uniqueness theorem, Image 06 Student will familiarize the different EM
theorem, Reciprocity theorem, Reactiontheorem, theroems and understanding of
Volume equivalence theorem and Surface relevant mathematical analysis with
equivalence theorem. respect to these theroems.
5 Duality Green’s function with integral transform 06
This unit will help student in
techniques, Strum Liouville problems, Green
understanding the Green’s function and
function in closed and series form, Green’s identities
its application in solve challenging real
and methods, Green’s functions of the scalar
world problems.
Helmholtz equation and Dyadic functions.
Total 39
Text Books:

1. C A Balanis, ‘Advanced Engineering Electromagnetics’, John Wiley Sons, US, 2nd edition, 2012.
Reference Books:

1. R F Harrington, ‘Time Harmonic Electromagnetics Field’, John Wiley Sons and IEEE, USA, 2nd edition, 2001.
2. Magdy F. Iskander, Electromagnetic Fields and wave, Prentice Hall Publications.
3. David K. Cheng, Field and Wave Electromagnetics, Pearson Education Indian Learning Private Limited

Page | 30
Course Structure for PhD
Department of Electronics Engineering

Course Course
Name of Course L T P Credit
Type Code
DE ECD510 Quantum Computation 3 0 0 9

Course Objective
The objective of the course is to develop an understanding of the basic principles, techniques and applications of
quantum computation.
Learning Outcomes
Upon successful completion of this course, students will:
 Understand the concept of quantum computing
 Acquire an understanding of essential aspects of quantum mechanics
 Get a knowledge of qubits, quantum logic gates, quantum algorithms and implementation
 Know about the physical implementation of quantum computers

Unit Lecture
Topics to be Covered Learning Outcome
No. Hours
Introduction to quantum computation; Historical 2 Acquire a basic understanding of the
1
perspectives, Quantum bits, Quantum algorithms. main concepts of the field

Introduction to quantum mechanics, Linear Algebra, 8 Develop the necessary background


Linear operators and matrices, Tensor product, Postulates knowledge of quantum mechanics
2 of quantum mechanics, Density operator, Quantum needed for a thorough grasp of
measurement, Quantum entanglement, EPR and Bell’s quantum computation
inequality

Quantum circuits, No-cloning theorem, Quantum 7 Understand the fundamental


teleportation, Single qubit operations, Controlled principles of quantum computation,
3 operations, Measurement, Universal quantum gates, and establish the basic building
Quantum circuit model of computation, Simulation of blocks for quantum circuits
quantum systems

Quantum Algorithms, Introduction. Quantum Parallelism. 7 Develop an understanding of


4 Deutsch's Algorithm. Deutsch-Jozsa Algorithm, Quantum quantum algorithms and the
search algorithms underlying techniques

Quantum Fourier transform. Quantum circuit for quantum 7 Develop quantum Fourier transform,
Fourier transform. Quantum phase estimation. which is the key ingredient for
5
Factorization algorithms, General Applications of quantum quantum factoring and many other
Fourier transforms interesting quantum algorithms

Physical realisation of quantum computation, Harmonic 3 Study the physical implementation of


6 oscillator quantum computer, Optical photon quantum quantum computing devices
computer. Solid-state quantum computer

Quantum information, Entropy, Quantum noise and 6 Acquire basic knowledge about
7
quantum operations, Quantum error-correction quantum information

Textbook:
2. Michael A. Nielsen and Issac L. Chuang, Quantum Computation and Quantum Information,
Cambridge University Press, 2010.

Page | 31
Course Structure for PhD
Department of Electronics Engineering

Reference Books:
3. N. David Mermin , Quantum Computer Science: An Introduction, Cambridge University Press (2007).
4. Phillip Kaye, Raymond Laflamme, Michele Mosca, An Introduction to Quantum Computing, Oxford
University Press, 2007.
5. A. Kitaev, A. Shen, M. Vyalyi, Classical and Quantum Computation, American Mathematical Society,
2002.

Page | 32
Course Structure for PhD
Department of Electronics Engineering

Course Course
Name of Course L T P Credit
Type Code
DE ECD 521 Microwave Photonics 3 0 0 9

Course Objective
The objective of the course is to provide microwave and optical technologies to overcome the limitation of
microwave technology
Learning Outcomes
At the end of the course, the student must be able to
 Explore the close interactions of lightwave and microwave and understand the physical principles of the
hybrid field.
 Learn and investigate the microwave photonics principles through a number of cutting-edge system
applications ranging from high-speed fibre-wireless links to microwave photonic signal processing.

Module Lecture
Topics to be Covered Learning Outcome
No. Hours
1 Introduction to Microwave Photonics: An introductory 12 Acquire an understanding of
overview, Advantages of Microwave photonics over microwave Photonics
conventional Microwave techniques. Photonic devices
and its application at high frequency, Limitation of direct
modulation at high frequency, Microwave photonic
detectors
2 Microwave photonic components: High speed 12 Understand about High speed
Modulator. Electro-optic modulators: Biasing and Modulator. Electro-optic
transfer characteristic of Mach-Zehnder Modulator modulators, Biasing and transfer
(MZM), Electro-absorption modulators, Fiber Bragg characteristic of Mach-Zehnder
Grating filter, Semiconductor optical amplifier. Modulator (MZM.

3 Microwave photonic systems: Introduction to Radio 10 Understand the working principle of


over fiber, Photonic microwave signal generation and radio over fiber, Photonic
processing, Optoelectronic microwave oscillator, microwave signal generation and
Microwave photonic mixer, Microwave photonic filter, processing, filter.
Terahertz signal generation and detection.
4 Microwave photonics in instrumentation and 05 Acquire an understanding of
measurement: Photonic approach of microwave photonic approach of microwave
frequency measurement. frequency measurement.
Total 39

Textbook:

1. Microwave Photonics: Devices and Applications by Stavros Iezekiel John Wiley & Sons, Ltd 2009.

Reference Books:

1. Optoelectronics and Photonics, O S Kasap (Pearson publication) Semiconductor Optical Amplifiers,


2013
2. Semiconductor optical amplifiers, second edition by N.K Dutta , Q. Wang January 2013

Page | 33
Course Structure for PhD
Department of Electronics Engineering

Course Course
Name of Course L T P Credit
Type Code
DE ECD 525 Optical and Quantum Computation 3 0 0 9

Course Objective
The objective of the course is to provide a modern understanding of light as a quantum phenomenon, and explore
how quantum applications such as quantum communications and quantum sensing are developed using quantum
nature of light.
Learning Outcomes
Upon successful completion of this course, students will:
 have an overview of the field of quantum optics
 be able to formulate and treat mathematical descriptions of basic quantum optical phenomena
 develop the basic idea of quantum computation

Module Lecture
Topics to be Covered Learning Outcome
No. Hours
1. Quantum theory of light: quantization of the 4 Acquire an understanding of the
electromagnetic field, evolution of the field operators, basic concept of quantum optics
quantum states of light, Quantum information
processing.
2. Photon sources and detectors: Mathematical model of 5 Get an understanding of the single
photodetectors, physical implementations of photon and entangled photon
photodetectors, single-photon sources, entangled sources and detectors
photon sources, quantum non-demolition photon
detectors.
3. Quantum communication with single photons: photons 6 Learn about quantum
as information carriers, quantum teleportation and communication and computation
entanglement swapping, decoherence-free subspaces using photons
for communication, quantum cryptography. Quantum
computation with single photons.
4. Quantum communication with continuous variables: 5 Acquire an understanding of
phase space in quantum optics, continuous-variable entanglement, quantum
entanglement, teleportation and entanglement teleportation and cryptography
swapping, entanglement distillation, quantum
cryptography.
5. Quantum computation with continuous variables. 6 Have a knowledge of light-matter
Quantum treatment of linear optics, Quantum light by interaction and quantum memories
non-linear optical processes, signatures of quantum
behaviour, light-matter interaction, Quantum
memories.
6. An ensemble of identical two-level atoms, 8 Acquire the information about
electromagnetically induced transparency, quantum atomic ensembles and their
memories and quantum repeaters, the atomic applications
ensemble of a single qubit, photon-photon
interactions via atomic ensembles.
7. Solid-state quantum information carriers: Definition 5 Develop and understanding of the
and optical manipulation of solid-state qubits, manipulation of qubits
interactions in solid-state qubit systems, entangling
two qubit operations, scalability of solid-state devices.
Total 39

Page | 34
Course Structure for PhD
Department of Electronics Engineering

Text book:

1. P. Lambropoulos and D. Petrosyan, Fundamentals of Quantum Optics and Quantum Information,


Springer 2007
Reference books:

1. L. Mandel and E. Wolf, Coherence and Quantum Optics, Cambridge Univ. Press 1995.
2. M. O. Scully and S. Zubairy, Quantum Optics, Cambridge university Press, 1997

Page | 35
Course Structure for PhD
Department of Electronics Engineering

Course Course Name of Course L T P Credit


Type Code
DC ECD543 Radio Frequency Integrated Circuits 3 0 0 9

Course Objective
The objective of the course is to present an introduction to Radio Frequency Integrated Circuits, with an emphasis
on how to design - efficiently, and effectively – a RFIC.
LearningOutcomes
Upon successful completion of this course, students will:
 have a broad understanding of design and challenges of architecture of RF transceiver.
 have a high-level understanding of design of LNA.
 have a high-level understanding of design of RF Power Amplifier.
 have a high-level understanding of design of RF Mixer.
 have a high-level understanding of design of RF Oscillator.

Module Lecture
Topics to be Covered Learning Outcome
No. Hours
1 Fundamentals of RF circuits and systems: Duplexing, 7 This unit will help students to get
FDMA, dB, dBm, Voltage gain, Channel, ACR, AACR, information about different
Noise factor, NF of a cascaded system, Sensitivity, HD, parameters of RF circuits.
Gain compression, P1dB, Cross modulation, Inter
modulation, IM3, IIP3, SFDR, Transmit mask.
2 Transmitter and Receiver architectures: Review of 7 This unit will help students in
modulation schemes, Receiver architectures, understanding the steps to design
Transmitter architectures Passive and active RF Transceivers.
components for CMOS RFIC: Review of MOSFET, RF
transistor layout, CMOS process, Capacitors, Varactors,
Resistors, Inductors, Transformers, Transmission lines
Resonance, Matching, S-parameters, etc. Noise in
electrical circuits and NF calculations, Two port noise
theory.
3 Low Noise Amplifiers: Resistive terminated CS and CG 6 This will help in designing LNAs.
LNA, Inductive degenerated LNA, Shunt feedback LNA,
Noise canceling LNAs, Linearity improvement
techniques.
4 Power Amplifiers: Basics and Class A, B, C, D, E, F and 6 This will help in designing RF Power
other configurations, Power combining, Linearity Amplifiers.
improvement techniques.
5 Mixers: Specifications, NL system as a mixer, Active 6 This will help in designing RF Mixers.
mixers, Passive mixers.
6 Oscillators: Introduction, LC Oscillators, Phase noise, 8 This will help in designing RF
Introduction to PLLs; Type-I PLLs, Charge pump PLLs: Oscillators.
Mathematical model, Design issues and Phase noise,
Frequency synthesizers: Integer N synthesizers,
Dividers.
Total 39
Text Books:

1. RF Microelectronics by BehzadRazavi, Pearson, Second Edition.

Reference Books:

1. Microwave Transistor Amplifier, Analysis and Design by Gullermo Gonzalez, Prentica Hall, Second Edition

Page | 36
Course Structure for PhD
Department of Electronics Engineering

Course Course
Name of Course L T P Credit
Type Code
DE ECD542 Electromagnetic Interference & Compatibility 3 0 0 9

Course Objective
This course is designed to familiarize the students with different concepts related to EMI and EMC. At the end of
the course the students will have the knowledge required to design an electromagnetically compatible system.
Learning Outcomes
At the end of the course the student able to learn the concepts of
 Real-world EMC design
 Designing electronic systems that function without errors or problems related to electromagnetic
compatibility
 Diagnose and solve basic electromagnetics

Module Lecture
Topics to be Covered Learning Outcome
No. Hours
1 Introduction of EMI & EMC, Aspects of EMC, Common 12 Students will be familiarized with
EMC units, CISPR & FCC limits, measurement of the basics concepts of EMI and
conducted and radiated emission, Antenna factor, mandatory requirements to be
Additional product requirements, Design Constraints fulfilled by a system to be EMC.
for products, Advantages of EMC design, Spectra of They will understand the non-
digital waveforms, Time domain analysis of ideal behaviour of components
transmission lines, High speed digital interconnects and and able to design digital clocks
signal integrity, Lumped circuit approximate models, for a high speed system.
Non-ideal behavior of components (wires, PCB boards,
leads, resistors, capacitors, inductors) , ferromagnetic
materials and ferrite beads, common-mode chokes,
Electromechanical devices, Digital circuit devices,
Effect of component variability, Mechanical switches.
2 Power supply filters, conducted susceptibility, Simple 17 Students will be familiarized with
emission models for wires and PCB lands, Simple the four basic methods of EMI –
susceptibility model for wires and PCB lands, Three radiated emission, conducted
conductor transmission lines and crosstalk, Electrostatic emission, crosstalk, and ESD.
discharge, The transmission-line equations for lossless They will understand how to
lines, The per-unit-length parameters, The inductive- design a system to reduce EMI
capacitive coupling approximate model, Lumped-circuit via these processes.
approximate model, Shielded wires, Twisted wires.
3 Shielding effectiveness for far field and near field 10 Students will understand how to
sources, Low frequency magnetic field shielding, Effect design a shield for a RF system
of apertures, Different ground systems, System and place differentcomponets of
configuration and design. the system in a PCB.
Total 39

Text Book:

1. Clayton R. Paul, ‘Introduction to Electromagnetic Compatibility’, Wiley – India, 2nd edition, 2010.

Reference Books:

1. Engineering Electromagnetic Compatibility: Principles, Measurements, and Technologies, by V. Prasad Kodali


, Wiley-IEEE Press Home , 2nd edition, 2001
2. Electromagnetic Compatibility Engineering by Henry W. Ott , 1st edition, 2009.
3. Electromagnetic Compatibility of Integrated Circuits: Techniques for low emission and susceptibility, by Sonia
Ben Dhia, Mohamed Ramdani, Etienne Sicard, 1st Edition, 2006.

Page | 37
Course Structure for PhD
Department of Electronics Engineering

Course Course
Name of Course L T P Credit
Type Code
DE ECD544 Radar Engineering 3 0 0 9

Course Objective
This course is designed to familiarize the students with the different kinds of radar systems and their operations.
It will also provide different concepts related to radar detection and radar signal processing to the students. At
the end of the course the students will be able to understand the operation of radar systems and they will be able
to work on more complex modern radar systems
Learning Outcomes
Upon successful completion of this course, students will:
 Acquired knowledge about Radar and Radar Equations.
 Understanding the working principal of MTI and Pulse Doppler Radar.
 Foster ability to work using Detection of Signals in Noise and Radio Direction Finding.
 Foster ability to work using Instrument Landing System.

Module Lecture
Topics to be Covered Learning Outcome
No. Hours
1 Radar fundamentals, Derivation of range equation, the search
radar equation, Jamming and radar range with jamming, Radar 10
clutter and radar range with clutter, Radar range with combined Understanding of
interferences sources. Noise and false alarms, Detection of one fundamental of Radar and
sample of signal with noise, Integration of pulse trains, Radar Equations. Also
Detection of fluctuating targets, CFAR, Optimum and matched familiar with the concept
filter Theory, Loss factors in detection. Definition of radar cross of RCS and its analysis.
section, Radar cross section of simple and complex objects,
spatial distribution of cross section, Bistatic cross section.
2 This unit will help student
CW and FM Radar: Doppler Effect, CW and FMCW Radar,
in understanding the
Airborne Doppler Navigation, Multi frequency CW Radar. Delay
10 working principal of MTI,
lines and line cancellors, Subclutter Visibility. MTI using range
Pulse Doppler and other
gates and filters, Pulse Doppler radar, Non-coherent MTI radar.
types of Radar.
3 Application of Digital signal processing to radar system. Different
Students will able to
types of tracking techniques, tracking in range, tracking in
understand different
Doppler, Search Acquisition radar, Comparison of Trackers. 10
tracking techniques along
Height finding radars, Air traffic control Radars and data
with various kind of real
handling, Atmospheric effects of radar, Electromagnetic
world applications of
compatibility aspects, Airborne Radars, Synthetic Aperture
RADAR technology.
Radar, Secondary surveillance Radars, LTIR..
4 Matched filter receiver, detection criteria, detectors, integrators, Student will familiarize the
constant-false-alarm rate receiver, basic radar measurement, reciver operations and
ambiguity diagram, pulse compression, target recognition, 9 understanding of relevant
surface-clutter, land clutter, sea clutter, weather clutter, mathematical analysis
detection of targets in clutter, ECM and ECCM. along with measurement.
Total 39

Text Books:

1. Modern Radar System Analysis, By David Barton .K - Artech House, 1st edition, 1988..

Reference Books:

1. Radar Design Principles Signal Processing and The Environment, By Fred NathansonMcgraw Hill, 1969.
2. Introduction to Radar systems, By Skolnik - Mcgraw Hill, 3rd edition, 2002.
3. Radar Fundamentals, By Ian Faulconbridge, Argos Press Hill, 1st edition, 2002.

Page | 38
Course Structure for PhD
Department of Electronics Engineering

Course
Course Code Name of Course L T P Credit
Type
DE ECD564 On-Chip Interconnects 3 0 0 9
Course Objective
To provide in depth knowledge of interconnect modeling and performance analysis; introduction and analysis of
futuristic material based interconnects such GNRs, CNTs and so on
Learning Outcomes
At the end of the course, the student must be able to
 quantify the significance of interconnects in IC Design
 understand the role of repeaters
 get an insight on Transmission line parameters of VLSI interconnects
 understand the novel solutions on interconnects

Module Lecture
Topics to be Covered Learning Outcome
No. Hours
1 Moore’s Law, Technological trends, ITRS; Interconnect 7 This section introduces the subject
dimensions, 3D-interconnect, definition of pitch, and marks the importance of the
concept of sheet resistance as applicable for subject in semiconductor industry,
interconnects; Aluminum interconnects, fabrication with emphasis on the fabrication
techniques, Electromigration, Hillock formation, aspects.
Junction Spiking; Copper Interconnect and low-k
dielectric materials. Damascene process, Electroplating
and CMP
2 Interconnect resistance and capacitance; Distributed 8 This module emphasizes on how to
model of interconnect, single and multi rung ladders, RC cope with interconnect parcitics
time delay, Elmore’s delay; Local and Global which impose severe restrictions in
interconnect, interconnect length prediction – Rent’s circuit performance. The students
rule and parameters; Interconnect scaling (local and will also come to know the
global): ideal, quasi-ideal, constant-R, constant importance of scaling, as applicable
dimension. to interconnects.
3 Analytical model of delay using lumped and distributed 5 This section focuses on the delay
parameters; Repeater design and optimization. models of interconnects and
methods for its improvement, with
emphasis on repeater design.
4 Inductive parasitic: Effect of inductance, transmission 6 This section deals with the
line model of interconnects; skin effect and its influence qualitative and quantitative
on resistance and inductance; Output drivers, reduced- visualization of interconnects as
swing circuits and advance interconnect techniques. transmission lines
5 Cross-Talk: Theoretical basis of modeling cross-talk, 6 Students here will come to know
capacitive and inductive matrix, power distribution about cross-talk and methods for
noise minimizing the same
6 Emerging on-chip interconnects: CNT, Graphene, optical 7 This deals with the emerging
interconnects and so on materials that can be used as on-
chip interconnects.
Total 39
Textbook:

1. H. B. Bakoglu, “Circuits, Interconnections, and Packaging for VLSI”, Addison-Wesley Publishing


Company
2. Jan M. Rabey, A. Chandrakasan and B. Nikolic , “Digital Integrated Circuits – A design perspective”, PHI.
3. Sung-Mo Kang & Yusuf Lablebici, “CMOS Digital Integrated Circuits, Analysis & Design”, TMH Edition..
Reference Books:

1. High-Speed VLSI Interconnects, Ashok K. Goel, John Wiley & Sons, 2007.
2. Selected journal papers/IEEE.

Page | 39
Course Structure for PhD
Department of Electronics Engineering

Course Course
Name of Course L T P Credit
Type Code
DE ECD563 Low Power VLSI 3 0 0 9

Course Objective
This course deals with the design issues of low power circuits in digital perspective. In this course, MOS transistor
modelling is emphasized for low power applications. After completing this course the students would have thorough
knowledge of modelling of various MOS parameters and SPICE simulation for low power applications, correlation
analysis in DSP systems, Monte Carlo simulation and low power memory design.
Learning Outcomes
Upon successful completion of this course, students will be able to:
● analyze the need for low power VLSI circuits
● understand dynamic and static power dissipation and factors affecting them
● recognize role of simulation possible at various levels of design
●define relationship of probability while calculating power dissipation of circuits
●apply Power reduction techniques possible at circuit, logic level
●analyze Clock as a major source of power dissipation and distinguish various methods to reduce it.

Module Lecture
Topics to be Covered Learning Outcome
No. Hours
1 Introduction: Need for low power VLSI chips, Sources of power 6 Acquire an
dissipation on Digital Integrated circuits. Emerging Low power understanding of the
approaches. Device & Technology Impact on Low Power: Dynamic fundamental concepts
dissipation in CMOS, Transistor sizing & gate oxide thickness, Impact of Low Power VLSI
of technology Scaling, Technology & Device innovation. design.
2 Simulation Power analysis: SPICE circuit simulators, gate level logic Understand how to
simulation, capacitive power estimation, static state power, gate level 9 do various types of
capacitance estimation, architecture level analysis, data correlation Power analysis
analysis in DSP systems, Monte Carlo simulation. Probabilistic power techniques.
analysis: Random logic signals, probability & frequency, probabilistic
power analysis techniques, signal entropy.
3 Low Power Circuits: Transistor and gate sizing, network restructuring 8 Develop the skill to
and Reorganization. Special Flip Flops & Latches design, high design various Low
capacitance nodes, low power digital cells library. Logic level: Gate power VLSI system
reorganization, signal gating, logic encoding, state machine encoding, building blocks.
pre-computation logic.
4 Low power Architecture & Systems: Power & performance 8 Develop the skill to
management, switching activity reduction, parallel architecture with design Low power
voltage reduction, flow graph transformation, low power arithmetic architecture and
components. systems.
5 Low power Clock Distribution: Power dissipation in clock distribution, Develop the skill to
single driver Vs distributed buffers, Zero skew Vs tolerable skew, chip 8 design Low power
& package co design of clock network. Special Techniques: Power clock distribution
Reduction in Clock networks, CMOS Floating Node, Low Power Bus schemes.
Delay balancing, and Low Power Techniques for SRAM.

Textbook:

● G. [Link], Farid N. Najm, “Low Power VLSI design and technology”, World Scientific Publishing, 1996.

● Gary [Link], “Practical Low Power Digital VLSI Design”, Kluwer Academic Press, 1998.

● Kaushik Roy, Sharat Prasad, “Low-Power CMOS VLSI Circuit Design”, Wiley, 2009.

Page | 40
Course Structure for PhD
Department of Electronics Engineering

Reference Books:

● A. P. Chandrakasan, R. W. Broderson, “Low Power Digital VLSI Design”, IEEE Press, 1998.

● Jan M. Rabaey, Massoud Pedram, “Low power Design methodologies”, Kluwer Academic Press, 1996.

● Michael Keating, David Flynn “Low Power Methodology Manual for System On-Chip Design”, Springer
Publication 2007.

Page | 41
Course Structure for PhD
Department of Electronics Engineering

Course Course
Name of Course L T P Credit
Type Code
DE ECD568 Nanoelectronics 3 0 0 9

Course Objective
The objective of the course is to develop an understanding of physical background and applications of
nanoelectronics. The course will cover the basic concepts required for understanding the working of novel devices,
transport phenomena in nanostructures. It will introduce to the fabrication of nanostructures, and the
characterization tools. Some important devices including resonant-tunneling devices, single electron transistors etc.
will be discussed
Learning Outcomes
Upon successful completion of this course, students will:
 acquire a knowledge of the fundamentals required for nanoelectronics.
 develop the understanding of the working of some important nanoelectronic devicesalong with the fabrication
and characterization techniques.

Module Lecture
Topics to be Covered Learning Outcome
No. Hours
1. Trends in nanoelectronics, Characteristic lengths in 5 Acquire an understanding of the
mesoscopic systems,Essentials of Quantum Mechanics, basic concept of nanostructures
Semiconductor heterostructures, Quantum wells, wires
and dots
2. The Physics of Low-Dimensional Semiconductors: Basic 8 Get an understanding of the
properties of two-dimensional semiconductor fundamentals of lower dimensional
nanostructures, Density of states in lower dimensions, semiconductors
classical and quantum statistics of particles
3. Tunnelling transport: Transfer matrix approach, 10 Learn about the basic tools for
Tunnelling through a potential barrier, Kronig Penney tunneling transport and their
model, WKB method, applications of tunneling, applications
Schottky barrier, field emission, hot electron effects in
MOSFETs
4. Classical and semiclassical transport, ballistic transport 5 Acquire an understanding of the
through a quantum wire, Landauer formula, quantum semiclassical and quantum
resistance and conductance transport in nanostructures
5. Nanoelectronic devices, Resonant tunneling devices, 8 Have a knowledge of some of the
single electron transfer devices, Field effect transistors, important nanoelectronic devices
LEDs and lasers
6. Fabrication techniques for nanostructures: 4 Acquire the information about the
Lithography, split-gate technology, self-assembly, fabrication and characterization
Characterization of nanostructures techniques for nanostructures
Total 40

Textbook:

1. Fundamentals of Nanoelectronics, George. W. Hanson, Pearson Prentice Hall (2008)

Reference Books:

1. Introduction to Nanoelectronics, V.V. Mitin, V. A. Kochelap and M. A. Stroscio, Cambridge


University Press (2007)
2. The Physics of Low-dimensional Semiconductors: An Introduction, John Davies, Cambridge
University Press (1997).
3. Quantum Transport: Atom to Transistor, SupriyoDatta, Cambridge University Press (2005).

Page | 42
Course Structure for PhD
Department of Electronics Engineering

Course Course
Name of Course L T P Credit
Type Code
OE ECO500 Wireless Sensor Networks 3 0 0 9

Course Objective
This course is required to understand the basic WSN technology and supporting protocols, with emphasis placed on
standardization basic sensor systems and provides a survey of sensor technology. This will also provide the
understanding of the Sensor management, sensor network middleware, operating systems.
Learning Outcomes
 Students are able to understand and explain the concept of ad-hoc and sensor networks, their applications and
typical node and network architectures.
 Students are able to understand and explain protocol design issues (especially energy-efficiency) and protocol
designs for wireless sensor networks.
 Students are able to critique protocol designs in terms of their energy-efficiency
 Students are able to set up and evaluate measurements of protocol performance in wireless sensor networks.

Module Lecture
Topics to be Covered Learning Outcome
No. Hours
1 Introduction: Basics of wireless networks. 6 Acquire an understanding of the
basic of wireless networks
2 Wireless Sensor Networks: History, properties, medium 11 Develop an understanding about
access control, routing, energy efficiency, topology the routing protocol with topology
management, coverage, congestion and flow control, management for wireless sensor
quality of service, resource allocation, scheduling, networks.
security, multimedia transmission, mobile sensor
networks, applications.
3 Wireless Mesh Networks: Evolution, medium access 11 Understand the concept of wireless
control, channel assignment, routing, transport mess networks
protocols, congestion control, scalability, mobility
management, applications.
4 Vehicular Ad Hoc Networks: Introduction, applications 11 Understand the concept of
and their classification, VANET communication stack, Vehicular Ad Hoc Networks
medium access control, routing, security, mobility
models, vehicular sensor networks.

Total 39

Textbook:

1. Daniel Minoli, TaiebZnatiKazemSohraby, “Wireless Sensor Networks: Technology, Protocols and


Applications”, Wiley, 2010.

Reference Books:

1. H. Karl and A. Willig, “Protocols and Architectures for Wireless Sensor Networks”, Wiley Publishers,
2005.
2. Abbas Jamalipour Jun Zheng, “Wireless Sensor Networks: A Networking Perspective”, Wiley-
Blackwell, 2009

Page | 43
Course Structure for PhD
Department of Electronics Engineering

Course Course
Name of Course L T P Credit
Type Code
OE ECO520 Optical Networks 3 0 0 9

Course Objective
Course Philosophy:
 An optical network is a type of data communication network built with optical fiber technology. It utilizes optical fiber
cables as the primary communication medium for converting data and passing data as light pulses between sender and
receiver nodes. The course will give the student in-depth understanding of the functionality of optical networks and how
they may be implemented. How an optical network can work together with an IP-based network infrastructure for ensuring
both high reliability and performance in access, metro and transport networks, is paid special attention.
 The topics covered includes building blocks for optical networks and systems, an introduction to optical components,
principles and functionality in optical network elements as well as basic physical principles and properties and constraints
in optical fiber transmission. Principles and the function of optical circuit switched networks, both network elements like
reconfigurable add/drops and optical cross-connects as well as the principle of a wavelength routed optical network are
covered. Finally, up-to-date research in optical packet switched node and network architectures is studied.
Learning Outcomes
To get a basic understanding of physical properties of optical networks.2) To get a profound understanding of protocols
applied in optical networks3) To get a profound understanding of optical switching methods and networking techniques,
circuit, packet, hybrid, burst and flow.4) To get a basic understanding of optical components and optical node design.5)
To be able to communicate, reason and creatively think about optical networks.6) To be able to design optical networks,
taking both physical transmission properties and optical networking constraints into account.7) To be able to evaluate
performance of optical packet switched nodes using discrete event simulation methods.

Module Lecture
Topics to be Covered Learning Outcome
No. Hours
1 Evolution of optical networking - Overview of Fibre optic 6  To understand the basic concept of
LANs: Suitable topologies and MAC protocols, FDDI, DQDB, optical networks
Gigabit Ethernet;  To understand the protocols applied
in optical networks
2 Review of SONET/SDH and concepts of networking using IP- 6 To understand the concept and
over-ATM-over-SONET/SDH architecture; working architecture of first-
generation optical networks
3 WDM networks: Elements of WDM networks, Optical line 7 To get a profound knowledge in the
terminals, Optical line amplifiers, Optical add/drop optical networking devices and its
multiplexers (OADMs), Reconfigurable OADMs, Optical functions in optical networks
cross-connects.
4 WDM backbone networks: Concepts of wavelength routing 7 Ability in the establishment and
and lightpaths, Lightpath topology design, Routing and management of connection request in
wavelength assignment, LP-based optimum design and optical networks
heuristic algorithms, Wavelength conversion.
5 Traffic grooming in wavelength-routed backbones; IP-over- 7 Capable of handling traffic flow and
WDM and GMPLS, Protection in SONET/SDH, Protection in failure/fault management in optical
WDM backbone networks - dedicated and shared schemes. networks
6 Overview of Optical access networks: Hybrid fiber coax 6  Exposure of latest technologies in
(HFC), Enhanced HFC, Fibre to the home (FTTH), Overview optical networks
of Passive optical networks; Optical CDMA and Elastic  To understand the flaws and demand
Optical Network. in the existing optical networks and
the direction for the future optical
networks
Total 39

Page | 44
Course Structure for PhD
Department of Electronics Engineering

Text book: Rajiv Ramaswami, Kumar N. Sivarajan and Galen H. Sasaki, “Optical Networks: A Practical Perspective” (Third
Edition) The Morgan Kaufmann Series in Networking, David Clark, Series Editor, 2010

Reference books:

[Link] Mukherjee, Optical WDM Networks, Springer, 2006. 2.P.E Green, Jr. ``Fiber Optic Networks,'' Prentice Hall;
1 edition (July 9, 1992).3.G. [Link], ``Fiber-Optic Communication Systems,'' Wiley Pubisher (2015). 4.C. Siva Ram
Murthy and Mohan Gurusamy, “WDM Optical Networks - Concepts Design and Algorithms”, Prentice-Hall PTR, 2002.
5.López, Víctor, Velasco, Luis (Eds.) “Elastic Optical Networks: Architectures, Technologies, and Control”, Springer, 2016.

Page | 45
Course Structure for PhD
Department of Electronics Engineering

Course Course
Name of Course L T P Credit
Type Code
OE ECO540 MIC and MMIC 3 0 0 9

Course Objective
MIC and MMIC technology provides the core component for wide range of microwave and millimeter wave
communication, radar and sensing systems. The course aims to present different features of microwave circuits in
integrated form. So, students will learn different aspects of integrated circuits in microwave frequency.
LearningOutcomes
Upon successful completion of this course, students will:
1. Acquire knowledge about Microwave Integrated Circuits.
2. Gain knowledge and understanding of lumped elements for MIC.
3. Develop understanding of the fundamentals required to design & implement Integrated Circuits operating at
microwave frequencies.
4. Acquire a knowledge about Microwave Semiconductor Devices.

Module Lecture
Topics to be Covered Learning Outcome
No. Hours
1 Conductor and dielectric losses in planar transmission 10 This unit will help students to get
lines, coupled lines, multi-conductor lines, information about passive components
discontinuities, Basic Passive Components - Lumped used in MMIC.
elements in MIC & MMIC. Realization in microstrip and
suspended stripline Basics of MIC, MMIC.
2 MEMS technologies. Realization of planar transmission 6 This unit will help students in
lines and filters in MEMS. understanding the MEMS.
3 Active device technologies and design approaches, 10 This unit will help students to get
Fabrication and modeling: Bipolar junction transistor, information about active components
Hetero-junction bipolar transistor, High electron used in MMIC.
mobility transistor, MESFET, CMOS, BiCMOS.
4 Packaging, Interconnects, Monolithic Integrated 13 This will help in designing &
Antenna, Phase Shifters-PIN diode- Equivalent circuit implementing Integrated Circuits
and Characteristics, Basic series and shunt switches in operating at microwave
microstrip. Overview of Transceiver Design. frequencies.

Total 39

Text Books:

[Link] and MMIC design and technology by I. D. Robertson and S. Lucyszyn, The Institute of Electrical Engineers,
Second Edition 2001.

Reference Books:

[Link] Millimeter-wave Technologies: Antennas, Packaging and Circuits by Duixian Liu, Ulrich
Pfeiffer, Janusz Grzyb, Brian Gaucher. Wiley, First Edition 2009.

Page | 46
Course Structure for PhD
Department of Electronics Engineering

Course Course
Name of Course L T P Credit
Type Code
OE ECO541 Computational Electromagnetics 3 0 0 9

Course Objective
The course prepares PG students to familiarize the students with the advanced computational technique based on
finite difference method and finite difference time domain method with respect to real time situation.
Learning Outcomes
By the end of the course, the students should be able to apply FDTD concept to any boundary value problem and
code the same on the MATLAB. Furthermore, demonstrate an awareness of available methods to model and solve
electromagnetics related real-life engineering problems.

Module Lecture
Topics to be Covered Learning Outcome
No. Hours
1 10 This module will explain the
Introduction to FDTD, The Finite-Difference Time-Domain
understanding of the
Method Basic Equations, FDTD Updating Equations for
fundamental of FDM and
One/Two/Three-Dimensional Problems. Numerical Stability
basic concepts in Maxwell’s
and Dispersion, CFL Condition for the FDTD Method.
equations.
2 Building Objects in the Yee Grid, Defining the Problem Space 9
This unit will help student in
Parameters, Defining the Objects in the Problem Space,
understanding Yee cell
Material Approximations, Sub cell Averaging Schemes for
concept and its extension of
Tangential and Normal Components, Defining Objects Snapped
the same for different
to the Yee Grid, Creation of the Material Grid, Improved Eight-
geometries with materials
Sub cell Averaging.
parameters.
3 Perfectly Matched Layer Absorbing Boundary, Theory of PML, 8
Theory of PML at the Vacuum–PML Interface, Theory of PML at Student will familiarize the
the PML–PML Interface, PML Equations for Three-Dimensional different boundary
Problem Space, PML Loss Functions, FDTD Updating Equations conditions and abke to
for PML and MATLAB Implementation for Two-Dimensional TEz make connection with real
and TMz Case, Convolutional Perfectly Matched Layer. time situations.

4 Scattering Parameters, S-Parameters and Return Loss 12


calculations, Near-Field to Far-Field Transformation, Students will able to write
Implementation of the Surface Equivalence Theorem, their own code to solve the
Frequency Domain Near-Field to Far-Field Transformation, real time EM problem and
Implementation of the Thin-Wire Formulation, Thin-Wire find the desired parameters
Dipole Antenna, Filter design etc. for analysis.

Total 39

Text Books:
1. Atef Z. Elsherbeni and VeyselDemir, ‘The Finite-Difference Time-Domain Method for
Electromagnetics with MATLAB Simulations’ SciTech Publishing, Inc Raleigh, NC, 2 nd edition, 2015.

Reference Books:
1. Matthew N.O. Sadiku, ‘Numerical Techniques in Electromagnetics, 3rd Edition, 2009, Prairie View
A&M University, Texas, USA
2. Journal Papers of IEEE Transaction on Antenna and Propagation and IEEE Transaction on Microwave
Theory and Techniques.

Page | 47
Course Structure for PhD
Department of Electronics Engineering

Course Course
Name of Course L T P Credit
Type Code
OE ECO560 Test and Verification of VLSI Circuits 3 0 0 9
Course Objective
With this course students will learn the most recent, yet fundamental, VLSI test and verification principles along with design
for testability (DFT) architectures in an effort to help them design better quality products that can be reliably manufactured in
large quantity.
Learning Outcomes
Upon successful completion of this course, students will: Acquire knowledge about manufacturing defects, fault modeling
and collapsing.Model and simulate different types of faults in digital circuits at various levels of abstraction.Critique and
compare various ATPGalgorithms for combinational and sequential circuits.Acquire knowledge about various verification
techniques.
Module Lecture
Topics to be Covered Learning Outcome
No. Hours
1 Introduction to VLSI testing and verification, Defects and 9 Acquire an understanding of role of
Faults, Functional and structural testing, Physical faults and VLSI test and verification, concept of
their modeling, Fault Coverage, Single and multiple stuck-at faults and various test
fault model, Fault collapsing, Fault Equivalence and methodologies existing for digital
dominance, Checkpoint theorem, Delay fault testing, VLSI circuits.
Iddqtesting.
2 Fault simulation, Algorithms for fault simulation: Serial, 5 Learnabout various fault simulation
parallel, deductive and concurrent techniques; Critical algorithms, their merits and
path tracing. demerits.
3 Test generation for combinational circuits: Boolean 5 Learnabout various test generation
difference, D-algorithm, PODEM, etc.; Exhaustive, random algorithms for combinational circuits,
and weighted test pattern generation; aliasing and its effect their merits and demerits.
on fault coverage.
4 Test pattern generation for sequential circuits: ad-hoc 5 Learnabout various test generation
and structured techniques; scan path and LSSD, algorithms for sequential circuits,
boundary scan. Design for testability. and design for testability (DFT)
architectures.
5 Built-in self-test techniques, System-on-chip (SoC) 5 This unit helps the students to
testing, Low-power testing. learnBuilt-in self-test (BIST)
techniques, methodologies for SoC
testing and low-power testing.
6 PLA testing: cross-point fault model, test generation, 5 This unit helps the students to
easily testable designs; Memory testing: permanent, learnvarious modeled faults in PLA
intermittent and pattern-sensitive faults; test generation. and memory along withtheir test
methodologies.
7 Design verification techniques based on simulation, 5 This unit introduces the role of
analytical and formal approaches. Functional design verification and various
verification. Timing verification. Formal verification. approaches used for verification.
Basics of equivalence checking and model checking.
Hardware emulation.
Textbook:
1. [Link] [Link],“Essentials of Electronic Testing for Digital, Memory and Mixed-Signal VLSI
Circuits”, Kluwer Academic Publishers, 2009.
2. William K Lam, “Hardware Design Verification: Simulation and Formal Method-Based Approaches”, Prentice Hall
Modern Semiconductor Design Series, 2005.
Reference Books:
1. M. Abramovici, M. A. Breuer and A. D. Friedman, “Digital Systems and Testable Design”, Jaico Publishing House,
2002.
2. N. K Jha and S. Gupta, “Testing of Digital Systems”, Cambridge University Press, 2003.
3. [Link],“DigitalCircuitTestingandTestability”,AcademicPress,2002.
4. A. L. Crouch, “Design Test for Digital IC's and Embedded Core Systems”, Prentice Hall International, 2002.

Page | 48
Course Structure for PhD
Department of Electronics Engineering

Course Course
Name of Course L T P Credit
Type Code
OE ECO506 Machine Learning 3 0 0 9

Course Objective
Course Philosophy:
 Machine learning is an important component of the growing field of data science. Through the use of statistical
methods, algorithms are trained to make classifications or predictions, uncovering key insights within data
mining projects. These insights subsequently drive decision making within applications and businesses,
ideally impacting key growth metrics. As big data continues to expand and grow, the market demand for data
scientists will increase, requiring them to assist in the identification of the most relevant business questions
and subsequently the data to answer them.
Learning Outcomes
 Distinguish between, supervised, unsupervised and semi-supervised learning.
 Apply the apt machine learning strategy for any given problem.
 Suggest supervised, unsupervised or semi-supervised learning algorithms for any given problem.
 Design systems that use the appropriate graph models of machine learning.
 Modify existing machine learning algorithms to improve classification efficiency.

Unit Lecture
Topics to be Covered Learning Outcome
No. Hours
Module 1: Introduction: Learning – Types of Machine  To understand the basics of
06
Learning – Supervised Learning – The Brain and the machine learning.
Neuron – Design a Learning System – Perspectives and  To have a thorough understanding
Issues in Machine Learning – Concept Learning Task – of the Supervised and
1 Unsupervised learning
Concept Learning as Search – Finding a Maximally Specific
Hypothesis – Version Spaces and the Candidate techniques.
Elimination Algorithm – Linear Discriminants –
Perceptron – Linear Separability – Linear Regression..
Module 2: LINEAR MODELS: Multi-layer Perceptron –  To understand the different linear
06
Going Forwards – Going Backwards: Back Propagation models of Machine Learning
Error – Multi-layer Perceptron in Practice – Examples of
2 using the MLP – Overview – Deriving Back-Propagation –
Radial Basis Functions and Splines – Concepts – RBF
Network – Curse of Dimensionality – Interpolations and
Basis Functions – Support Vector Machines
Module 3: TREE AND PROBABILISTIC MODELS: Learning  To understand the concept of tree
06
with Trees – Decision Trees – Constructing Decision Trees and various probability based
– Classification and Regression Trees – Ensemble Learning learning techniques.
– Boosting – Bagging – Different ways to Combine
3 Classifiers – Probability and Learning – Data into
Probabilities – Basic Statistics – Gaussian Mixture Models
– Nearest Neighbor Methods – Unsupervised Learning –
K means Algorithms – Vector Quantization – Self
Organizing Feature Map
Module 4: DIMENSIONALITY REDUCTION AND  To understand the Dimensionality
03
EVOLUTIONARY MODELS: Dimensionality Reduction – Reduction and Evolutionary
4 Linear Discriminant Analysis – Principal Component Models of Machine Learning
Analysis – Factor Analysis – Independent Component
Analysis – Locally Linear Embedding – Isomap – Least

Page | 49
Course Structure for PhD
Department of Electronics Engineering

Squares Optimization – Evolutionary Learning – Genetic


algorithms – Genetic Offspring: - Genetic Operators –
Using Genetic Algorithms – Reinforcement Learning –
Overview – Getting Lost Example – Markov Decision
Process

Module 5: GRAPHICAL MODELS: Markov Chain Monte  To understand graphical models


06
Carlo Methods – Sampling – Proposal Distribution – of machine learning algorithms
5 Markov Chain Monte Carlo – Graphical Models – Bayesian
Networks – Markov Random Fields – Hidden Markov
Models – Tracking Methods

Text book:

1. Tom M Mitchell, “Machine Learning”, First Edition, McGraw Hill Education, 2017.

Reference books:

1. Ethem Alpaydin, “Introduction to Machine Learning 3e (Adaptive Computation and Machine Learning
Series)”, Third Edition, MIT Press, 2014.
2. Jason Bell, “Machine learning – Hands on for Developers and Technical Professionals”, First Edition,
Wiley, 2014
3. Peter Flach, “Machine Learning: The Art and Science of Algorithms that Make Sense of Data”, First
Edition, Cambridge University Press, 2012.
4. Stephen Marsland, “Machine Learning – An Algorithmic Perspective”, Second Edition, Chapman and
Hall/CRC Machine Learning and Pattern Recognition Series, 2014.

Page | 50
Course Structure for PhD
Department of Electronics Engineering

Course Course
Name of Course L T P Credit
Type Code
OE ECO501 Internet of Things 3 0 0 9

Course Objective
Course Philosophy: The internet of things, or IoT, is a system of interrelated computing devices, mechanical and
digital machines, objects, animals or people that are provided with unique identifiers (UIDs) and the ability to
transfer data over a network without requiring human-to-human or human-to-computer interaction.
The course introduces advanced concepts and methodologies of IoT to design, build and deploy IoT solutions. It also
discusses various technologies and protocols used for communication including new generation IoT-friendly
applications and physical layer protocols.
Learning Outcomes
Learning Outcomes: A. Knowledge:
- Understanding building blocks of Internet of Things and characteristics
- Thorough understanding of widely accepted IoT frameworks and standards
- Understanding the application areas of IOT
- Building and deploying IoT solutions
- Realizing the revolution of Internet in Mobile Devices, Cloud & Sensor Networks

Module Lecture
Topics to be Covered Learning Outcome
No. Hours
1 Introduction to IoT: Sensing, Actuation, 7  To understand the basic concepts of
Basics of Networking, Communication internetworking, sensors and actuators
Protocols, Sensor Networks, Machine-to-  To understand the concepts of sensing
Machine Communications. signal, data acquisition and transfer
 To understand the basic concepts of
networking and node to node
communication in sensor networks
2 Interoperability in IoT, Introduction to 8  To get the exposure of hardware
Arduino Programming, Integration of components and integration with sensors
Sensors and Actuators with Arduino. and communication devices

3 Introduction to Python programming, 7  To develop the programming skills


Introduction to Raspberry Pi,  To develop the programs for the
Implementation of IoT with Raspberry Pi, implementation of tasks in different
Implementation of IoT with Raspberry Pi. hardware devices
4 Introduction to SDN; SDN for IoT, Data 7  To get the exposure of future network
Handling and Analytics, Cloud Computing. technologies, data handling and storage
5 Sensor-Cloud; Fog Computing, Smart Cities 8  To get exposure on the applications for
and Smart Homes, Connected Vehicles, implementing the IoT as tools.
Smart Grid, Industrial IoT, Case Study:
Agriculture, Healthcare, Activity Monitoring.
Total 39

Text book:

1. Pethuru Raj and Anupama C. Raman, "The Internet of Things: Enabling Technologies, Platforms, and
Use Cases", CRC Press, 2017
Reference books:

1. ArshdeepBahga and Vijay Madisetti "Internet of Things: A Hands-on Approach", Universities Press,
2014
2. Olivier Hersent, “The Internet of Things: Key Applications and Protocols”, Wiley Press, 2015
3. Adrian McEwen, “Designing the Internet of Things”, Wiley Publishers, 2013
4. Daniel Kellmereit, “The Silent Intelligence: The Internet of Things”. 2013

Page | 51
Course Structure for PhD
Department of Electronics Engineering

Course Course
Name of Course L T P Credit
Type Code
OE ECO521 Design and Analysis of Algorithms 3 0 0 9

Course Objective
Course Philosophy: Algorithms are essential to the study of computer science and are increasingly important in the
natural sciences, social sciences and industry. Learn how to effectively construct and apply techniques for analyzing
algorithms including sorting, searching, and selection. Gain an understanding of algorithm design technique and
work on algorithms for fundamental graph problems including depth-first search, worst and average case analysis,
connected components, and shortest paths.
Learning Outcomes
Learning Outcomes:
 To develop the algorithm in every domain
 Understanding the issues of complexities
 To structure the algorithm for better efficiency

Module Lecture
Topics to be Covered Learning Outcome
No. Hours
1 Fundamentals – Growth of 07  To understand the fundamentals of algorithm
functions design
 Development of functions in algorithms
2 Sorting and searching - Advanced 08  To develop the programming skills
data structures  To develop the programs for the implementation of
sorting & search techniques and analyzing the
complexities
 To structure the tasks in implementation of
algorithms
3 Graph algorithms - Numerical 08  To understand the concept of graphs
algorithms  To design the algorithms on graphs and numerical
methods with minimum complexity
4 Distributed algorithms - 08  To get concept of distributed computation
Computational geometry  Development of algorithms on distributed
algorithms
 To get exposure on computational geometry
5 String matching - NP – 07  To understand the concept of string matching
completeness  To understand and provide the solution of different
problems
Total 39

Text Books:

1. Michael T. Goodrich, Roberto Tamassia, Michael H. Goldwasser, “Data Structures and Algorithms in
Python”, Wiley Publishers, 2016
2. Jon Kleinberg, Éva Tardos, “Algorithm Tardos”, Pearson Education, 2013
Reference Books:

1. Thomas H. Cormen, Charles E. Leiserson, Ronald L. Rivest, Clifford Stein, “Introduction to


Algorithms”, Prentice Hall of India, 2010.
2. Anany Lenin, “Introduction to the Design and Analysis of Algorithms”, Pearson Education, 2011.

Page | 52
Course Structure for PhD
Department of Electronics Engineering

Course Course
Name of Course L T P Credit
Type Code
OE ECO542 Advanced Microwave Measurement & Instrument 3 0 0 9

Course Objective
Provide the student with experience in measurements of RF and microwave hardware and signals using modern
equipment.
Learning Outcomes
At the end of this module, students are expected to be able to
1) Handle high-end instruments like VNA, Spectrum analyzer, power meter, etc.
2) Characterize different passive and active microwave devices.
3) Able to setup experiments for real-time situations.

Module Lecture
Topics to be Covered Learning Outcome
No. Hours
1 Fundamentals of electromagnetics and microwave engineering, 5
Understanding of
basic instruments for microwave measurements, and
fundamental of microwave
Introduction to RF and Microwave Measurements, Overview of
engineering and basic tools
State-of-the-Art Microwave Measurements, S-Parameters and
for the analysis of any
Related Black-Box Representation.
microwave network
2 Time Domain Reflectometry (TDR): measure characteristics of 20 In this module, students will
various connector families, transmission lines, complex loads, be learning the basic
Spectrum Analyzer: for measurement of simple signals on a construction and analysis of
spectrum analyzer to understand resolution bandwidth, video TDR, spectrum analyzer
bandwidth, dynamic range, noise, etc, Spectrum analyzer architecture and
architecture, network analyzer architecture, error correction applications and finally VNA
model, Material Property Measurement Using the VNA, a scalar basics and error correction
network analyzer. model with connection of
calibration of the same.
3 Power meter, LCR meter, Noise figure measurement, Noise 14
The student will familiarize
Measurements Definition, Noise Measurement Basics, special
the different advanced
Consideration for Mixers, Phase Noise, Phase-Noise
instruments and handling
Measurement Techniques signal generator architecture and
like LCR meter, noise meter,
measurements, amplifier characterization, mixer
amplifier characterization,
characterization, design and build a simple single stub
etc.
transmission line matching circuit etc.
Total 39

Text Books:
1. Atef Z. Elsherbeni and VeyselDemir, ‘The Finite-Difference Time-Domain Method for
Electromagnetics with MATLAB Simulations’ SciTech Publishing, Inc Raleigh, NC, 2 nd edition, 2015.

Reference Books:
1. Matthew N.O. Sadiku, ‘Numerical Techniques in Electromagnetics, 3rd Edition, 2009, Prairie View
A&M University, Texas, USA
2. Journal Papers of IEEE Transaction on Antenna and Propagation and IEEE Transaction on Microwave
Theory and Techniques.

Page | 53
Course Structure for PhD
Department of Electronics Engineering

Course Course
Name of Course L T P Credit
Type Code
OE ECO543 Microwave Remote Sensing 3 0 0 9

Course Objective
This course will enable the students to learn about fundamentals and application of radar remote sensing and
radiometry also learn about airborne and space borne radar systems.
Learning Outcomes
At the end of this module, students are expected to be able to
 Understand the fundamentals of radar remote sensing and radiometry.
 Apply the concept of radar remote sensing.
 Study about different airborne and spaceborne radar systems.
 Study about special topics in radar remote sensing systems.

Module Lecture
Topics to be Covered Learning Outcome
No. Hours
1 Passive Survey System: Introduction, History, plane waves, antenna 10 Students will be
systems, Resolution Concepts, Radiometry, Passive microwave introduced to the
sensing components, Emission laws, Roughness and Dielectric fundamentals of
Constant, Radiometers, Components, Brightness temperature, remote sensing
Antenna temperature, Power, temperature correspondence, passive and radiometry.
microwave interaction with atmospheric constituents, Emission
characteristics of various earth features, Passive missions.
2 Data products and Applications Active Survey System: Basics, RADAR 10 Students will be
operation and measurements, RADAR equation, RAR, frequency bands, able to apply the
SLAR Imaging Geometry, Geometric Distortions, SAR, Concepts, above concepts in
Doppler principle & Processing System Parameters and fading radar remote
concepts, Target Parameters. Interaction with Earth surface and sensing.
vegetation, Physical Scattering Models, Surface and Volume
Backscattering Platforms.
3 Sensors and Data Processing: Airborne, Space borne and Indian 10 Students will
missions, Data products and selection procedure, SAR Image understand different
Processing software, Measurement and discrimination, Backscatter airborne and
Extraction, Pre-processing and speckle filtering, Image Interpretation, spaceborne radar
SAR Image Fusion. systems.
4 Applications in Agriculture, Forestry, Geology, Hydrology, cryospace 09 Students will be
studies, landuse mapping and ocean related studies, military and familiar with
surveillance applications, search and rescue operations, ground and air different radar
target detection and tracking - case studies. Imaging and Non Imaging remote sensing
Metrics: SAR interferometry, Basics, differential SAR interferometry, systems.
SAR polarimetry, Polarisation Types, Information Extraction, Altimetry,
Principle, Location systems, Calibration- applications.
Total 39
Text Book:

[Link] remote sensing, By Ulaby, F.T., Moore, K.R. and Fung, vol-1,vol-2 Addison-Wesley Publishing, 1986.

Reference Books:
1. Principles and applications of Imaging RADAR, Manual of Remote sensing, vol.2, By [Link] and Anthony, J.
Lewis ASPRS, Jhumurley and sons, Inc, 3rd edition, 1998.
2. Air and space born radar systems-An introduction, By Philippe Lacomme, Jean clandeMarchais, Jean Philippe Hardarge
and Eric Normant, Elsevier publications, 1st edition, 2007.

3. Introduction to microwave remote sensing, By Iain [Link], 1st edition, 2005.

4. Radar Foundations for Imaging and Advanced Concepts, By Roger J Sullivan, Knovel, SciTech Pub., 2004.

Page | 54
Course Structure for PhD
Department of Electronics Engineering

Course Course
Name of Course L T P Credit
Type Code
OE ECO561 Embedded System Design 3 0 0 9

Course Objective
With this course students will learn to design different types of microcontroller based and processor based
embedded systems for instructing and controlling various types of automations.
Learning Outcomes
Upon successful completion of this course, students will:
 Classify different types of Automations required in society and industries.
 Fulfill the requirements in automations by suitable embedded designs.
 Control all the important processes and designed embedded systems in efficient way.

Module Lecture
Topics to be Covered Learning Outcome
No. Hours
1 Introduction to embedded system and to its different 9 Acquire an understanding of the
functional building blocks. Different processors for basic type of microcontrollers and
embedded system. 8051 Microcontroller Architecture: their in built architectures.
Memory Organization Input/Output Ports, Interrupts,
Timers/Counter, Serial Communication, Power Control.
2 8051 μC instructions and its assembly language 5 Develop skills for command
programming Concepts. microcontrollers in form of software
codes.
3 Programming the 8051 μC. Interfacing of some other 14 Understand the techniques of
devices/peripherals with 8051 μC, e.g., LCD, ADC, DAC attaching different kind of
etc. Motor Control: DC and Stepper motors. Some peripheral devices with the
embedded system design applications using 8051 μC. microcontroller in an embedded
Von Neumann and Harvard architecture, CISC & RISC system and ways to operate them as
architecture. Some advanced microprocessors and team.
microcontrollers for embedded system.
4 Other common components of embedded system: 14 Ability to build various types of
Memory, Watchdog Timer, Real-time clock, Serial embedded system which can able to
communication using I2C, CAN, USB buses, Parallel work in real world environment.
communication using ISA, PCI, PCI/X buses. Also able to understand the
Introduction to Real-Time Operating Systems: Tasks and limitations of their working.
Task States, Tasks and Data, Semaphores, and Shared
Data; Some popular RTOS. Different design phases and
constraints of embedded system.
Total 39

Textbook:

1. The 8051 Microcontroller Based Embedded Systems, Manish K Patel, McGraw Hill Education (India), 2017.

Reference Books:

1. M. A. Mazidi and J. G. Mazidi, "The 8051 Microcontroller and Embedded Systems", Prentice-Hall of India PVT
LTD, 2011.

2. K Ayala, “The 8051 Microcontroller & Embedded Systems Using Assembly and C”, Ceneage Learning India PVT
LTD, 2009.

3. A. Gupta, “Microcontroller and Embedded Systems”, S.K. Kataria& Sons (India), 2019.

Page | 55

You might also like