0% found this document useful (0 votes)
676 views576 pages

CMP v4 PDF

Uploaded by

Kasia Mazur
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
0% found this document useful (0 votes)
676 views576 pages

CMP v4 PDF

Uploaded by

Kasia Mazur
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
You are on page 1/ 576

‫هب انم خدا‬

‫‪www.iran-mavad.com‬‬
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
COMPREHENSIVE MATERIALS
PROCESSING
EDITOR-IN-CHIEF

Saleem Hashmi
Dublin City University, Dublin, Ireland

ASSOCIATE EDITORS-IN-CHIEF

Gilmar Ferreira Batalha


University of São Paulo, São Paulo, Brazil
Chester J. Van Tyne
Colorado School of Mines, Golden, CO, USA
Bekir Yilbas
King Fahd University of Petroleum and Minerals, Dhahran, Saudi Arabia

VOLUME 4

FILMS AND COATINGS: TECHNOLOGY AND RECENT DEVELOPMENT


VOLUME EDITOR

David Cameron
Lappeenranta University of Technology, Finland

AMSTERDAM • BOSTON • HEIDELBERG • LONDON • NEW YORK • OXFORD


PARIS • SAN DIEGO • SAN FRANCISCO • SINGAPORE • SYDNEY • TOKYO

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
Elsevier
Radarweg 29, PO Box 211, 1000 AE Amsterdam, Netherlands
The Boulevard, Langford Lane, Kidlington, Oxford OX5 1GB, UK
225 Wyman Street, Waltham, MA 02451, USA

Copyright  2014 Elsevier, Ltd. unless otherwise stated. All rights reserved.

No part of this publication may be reproduced, stored in a retrieval system or transmitted in any form or by any means electronic,
mechanical, photocopying, recording or otherwise without the prior written permission of the publisher.

Permissions may be sought from Elsevier’s Science & Technology Rights department in Oxford, UK: phone (+44) (0) 1865 843830;
fax (+44) (0) 1865 853333; email: [email protected]. Alternatively you can submit your request online by visiting the Elsevier
website at http://elsevier.com/locate/permissions and selecting Obtaining permission to use Elsevier material.

Website links cited in the Encyclopedia were accepted as accurate when first submitted to the publisher, however, the Publisher is unable
to ensure that they will continue to remain so.

Notice
No responsibility is assumed by the publisher for any injury and/or damage to persons or property as a matter of products liability,
negligence or otherwise, or from any use or operation of any methods, products, instructions or ideas contained in the material herein,
Because of rapid advances in the medical sciences, in particular, independent verification of diagnoses and drug dosages should be made

British Library Cataloguing in Publication Data


A catalogue record for this book is available from the British Library

Library of Congress Cataloging-in-Publication Data


A catalog record for this book is available from the Library of Congress

ISBN: 978-0-08-096532-1

For information on all Elsevier publications


visit our website at store.elsevier.com

Printed and bound in Italy


14 15 16 17 18 19 10 9 8 7 6 5 4 3 2 1

Project Manager: Gemma Tomalin


Associate Project Manager: Joanne Williams

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
EDITOR-IN-CHIEF

Saleem Hashmi graduated from East Pakistan University of Engineering and Technology in 1967,
and in 1972 he completed his master’s and PhD degrees from the University of Manchester. He
was appointed as a postdoctoral fellow with UMIST, Manchester, in January 1973, and in October
1973, he joined Sheffield Hallam University as a lecturer. In 1976, he was promoted to senior
lecturer, then to principal lecturer in 1977, and to reader in 1984.
In January 1987, Professor Hashmi founded the School of Mechanical & Manufacturing Engi-
neering at Dublin City University. While in the process of establishing this school, he attracted
substantial external research funding to set up the Material Processing Research Centre (MPRC),
a research center of excellence in the field of material processing. To this day, he continues his
affiliation with Dublin City University as an emeritus professor in the school he founded 27 years ago.
In 1990, Professor Hashmi established Advances in Materials and Processing Technologies
(AMPT), a much needed international conference in materials processing. He continues to be the
Chairperson of the Steering Committee for this series of conferences. In 1998, he was appointed
as editor-in-chief of Elsevier Journal of Material Processing Technology and continued in this role
until 2008.
In 1995, Professor Hashmi was awarded the higher doctorate degree of DSc, by the University of
Manchester, the highest academic degree any university in the United Kingdom can award.
Professor Hashmi has supervised or co-supervised 110 PhD and 55 MEng research students to successful completion. Over the years,
he has also acted as an external examiner and expert assessor for PhD candidates and engineering departments with universities in Ireland,
the United Kingdom, India, Pakistan, Bangladesh, Hong Kong, Canada, Australia, and Malaysia. He is a Chartered Engineer (CEng), a Fellow
of the Institution of Mechanical Engineers, UK (FIMechE) and also a Fellow of the Institution of Engineers of Ireland (FIEI). He has
published over 430 papers and 12 books and is still publishing.

v
www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
This page intentionally left blank

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
EDITORIAL BOARD

Chester J. Van Tyne is the FIERF (Forging Industry Education and Research Foundation) professor
at Colorado School of Mines (CSM) in Golden, Colorado. He received his undergraduate and
graduate degrees from Lehigh University and worked for Bethlehem Steel as a research engineer for
a short period before joining Lafayette College in the Metallurgical Engineering Department as
a professor in 1980. In 1988, he joined the Metallurgical and Materials Engineering Department at
CSM. He is actively engaged in the research operations of the Advanced Steel Processing and
Products Research Center at CSM. His research interests are in metal deformation processes
especially forging, sheet stamping, and friction during metalworking operations.

Gilmar F. Batalha graduated as a mechanical engineer from the University of Brasilia in 1982. He
completed his MSc in Mechanical Engineering at the Federal University of Santa Catarina (1987),
his PhD in Mechanical Engineering (1995) and habilitation/livre-docencia in manufacturing
processes (2010) at the Department of Mechatronics and Mechanical Systems, Polytechnic School
of Engineering, University of Sao Paulo, where he has been a tenured professor since 1989. He has
had postdoctoral research experience at the Friedrich Alexander University Erlangen–Nuremberg,
Germany (1997–98). He was a visiting professor at Ecole Centrale de Lille, France (2004–06), and
at the Faculty of Mechanical Engineering of the Silesian University of Technology, Gliwice, Poland
(2012–13). He served as an adjunct professor at the Faculty of Engineering, UDESC, Joinville, Brazil
(1984–89). He has been an advisor on several PhD theses and master’s dissertations, coordinator
of the Laboratory of Manufacturing Engineering of the EPUSP, and is responsible for several
international and national cooperation agreements for exchange of students and researchers as well
as for consultancy with the industry. He is the volume editor for the Materials Modeling and
Characterization volume in Elsevier’s Comprehensive Materials Processing series.

Sergio T. Button received his PhD in Mechanical Engineering from School of Mechanical Engi-
neering, University of Campinas, Brazil, in 1990. He is currently full professor of Manufacturing
Processes at the University of Campinas. His main research fields include metal forming and
numerical simulation. Currently, his research is focused on cross wedge rolling and hot stamping.
He is the coauthor of 2 books and more than 100 papers in international journals and conferences.
He is the editor and reviewer of many international journals, and participates in the organization of
three national and international scientific conferences.

vii
www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
viii Editorial Board

David Cameron is currently scientific advisor to Miktech Oy, Finland, and adjunct professor in
Dublin City University, Ireland. From 2003 to 2013, he was professor of Material Technology in
Lappeenranta University of Technology, Finland, where he established the Advanced Surface
Technology Research Laboratory (ASTRaL). He obtained his doctorate from the University of
Glasgow in 1980 and has spent his research career working on thin-film technology. He was
a senior research fellow at the Royal Signals and Radar Establishment in the United Kingdom until
1982 when he joined the School of Electronic Engineering at Dublin City University, becoming
associate professor. His research focus is on atomic layer deposition and its industrialization.

Joseph A. McGeough is an honorary professorial fellow in the School of Engineering at the


University of Edinburgh. He is a graduate from the universities of Glasgow (BSc and PhD) and
Aberdeen (DSc). He held research appointments at the universities of Leicester, Queensland, and
Strathclyde. As a student he gained numerous county, university, and national championship
athletic awards. His industrial training began as an undergraduate vacation apprentice for a firm of
electrical contractors based at ICI ltd Nobel Division, and he subsequently undertook postgraduate
experience at International Research and Development, Newcastle upon Tyne, before returning to
university service. He was successively a lecturer, senior lecturer, and reader in Engineering at
Aberdeen University, and then moved to Edinburgh University to take up its Regius Chair of
Engineering. His research work has dealt mainly with manufacturing processes for which he has
been awarded Institution of Mechanical Engineers and the Society for Underwater Technology
prizes. He has been the recipient of a Royal Society/SERC (Science and Engineering (now Engi-
neering and Physical Sciences) Research Council) Industrial Fellowship in order to transfer the
results of his research to industry. His publications include Principles of Electrochemical Machining,
Advanced Methods of Machining, and Micromachining of Engineering Materials (editor). His latest book
is The Engineering of Human Joint Replacements (2013), which contains details of the materials and
manufacturing processes used in this field. He is a fellow of the Institution of Mechanical Engi-
neers, International Academy for Production Engineering, Royal Society of Edinburgh, and Royal
Academy of Engineering.

Emin Bayraktar is a full university professor and research director at Supmeca/Paris, School of
Mechanical and Manufacturing Engineering, Paris, France. He was awarded a PhD degree in
Mechanical Engineering by IST/OSU and a second PhD degree in Materials Science and Engi-
neering in Paris. Subsequently, Professor Bayraktar was awarded a higher doctorate degree of
habilitation (DSc) in Mechanics of Materials in recognition of his research contributions at
Paris 6, University Marie-Curie. His teaching and research expertise lies on the processing and
manufacturing of the composite materials and damage analysis. Recently he has been appointed
as an adjunct professor in UNICAMP-Campinas, Brazil, on the new design of composites for the
application in aeronautical engineering. He is on the editorial board of a number of scientific
journals. He is also a member of the Steering Committee for the International Conference Series
on Advances in Materials and Processing Technologies (AMPT).

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
Editorial Board ix

Bekir S. Yilbas received his PhD degree in Mechanical Engineering from Birmingham University.
He was awarded the Doctor of Engineering degree in 2005 by Birmingham University due to his
significant contribution to his field of study. He has published 375 journal papers in reputable
international journals and presented over 60 papers at international conferences. He has served
as an editorial board member of international journals, including International Journal of Machine
Tool and Manufacture Design, Research and Application, International Journal of Subsurface Sensing
Technologies and Applications, Journal of Materials Processing Technology, Journal of Achievements in
Materials and Manufacturing Engineering, International Journal of Nanomanufacturing, and Archives of
Materials Science and Engineering. He has received numerous awards in recognition of his research
work.

Nabil Bassim was born and raised in Cairo, Egypt. Dr. Bassim received a BSc in Chemical Engi-
neering from Cairo University in 1965. He went to the University of Virginia, United States, where
he received his MSc in Chemical Engineering (Nuclear Engineering option), and a PhD in Materials
Science in 1973. He moved to Canada where he is now professor of Materials Science and Engi-
neering at the University of Manitoba. He served for years as associate dean of research at the
Faculty of Engineering and was director of International Programs for the faculty. His areas of
research interest include mechanisms and mechanics of plastic deformation, dislocation theory,
fracture and fatigue, and nondestructive evaluations. He has patents on the use of acoustic emission
for monitoring of structures. He also has an interest in studying the behavior of materials at high
strain rates and large strains as they affect processes such as metal forming and machining. He has
published over 120 papers and supervised numerous MSc and PhD theses.

Syed H. Masood is professor of Mechanical and Manufacturing Engineering at Swinburne


University of Technology in Melbourne, Australia, where he is also the program coordinator of the
master’s program in advanced manufacturing technology. He obtained his PhD from the University
of Queensland, Australia; MEng from the University of New Brunswick, Canada; PG Dip from IIT
Delhi; and BSc Eng Hons from Aligarh Muslim University, India. Professor Masood has worked
previously at Central Queensland University, Rockhampton, and PNG University of Technology,
Papua New Guinea. He has published over 275 technical articles in international journals, books,
and conferences in different areas of advanced manufacturing. His current research interests include
additive manufacturing technologies, especially fused deposition modeling and direct metal
deposition, plastics manufacturing processes, material development, and material characterization.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
x Editorial Board

Mustafizur Rahman is currently a professor at the Department of Mechanical Engineering at


National University of Singapore (NUS), and has been with NUS since 1982. He obtained his BSc
in Engineering from Bangladesh University of Engineering and Technology (BUET), MEng and PhD
from Tokyo Institute of Technology, Japan. His research interests include micro-/nanomachining,
design and development of miniature machine tools and devices for micro-/nanomachining, and
high-speed machining of difficult-to-machine materials. He has received Outstanding Achievement
Awards from the Singapore Institute of Engineers and the Japan Society of Mechanical Engineers in
2003 and 2005, respectively.

George Krauss is currently university emeritus professor at the Colorado School of Mines and
a metallurgical consultant specializing in steel microstructural systems. Dr. Krauss received the BSc
in Metallurgical Engineering from Lehigh University in 1955 and the MSc and ScD degrees in
Metallurgy from the Massachusetts Institute of Technology in 1958 and 1961, respectively, after
working at the Superior Tube Company as a development engineer in 1956. In 1962–63, he was an
NSF postdoctoral fellow at the Max Planck Institut für Eisenforschung in Düsseldorf, Germany. He
served at Lehigh University as assistant professor, associate professor, and professor of Metallurgy
and Materials Science from 1963 to 1975, and in 1975 joined the faculty of the Colorado School of
Mines as the AMAX Foundation professor in Physical Metallurgy. He was the John Henry Moore
professor of Metallurgical and Materials Engineering at the time of his retirement from the Colo-
rado School of Mines in 1997.
In 1984, Dr. Krauss was a principal in the establishment of the Advanced Steel Processing and
Products Research Center, an NSF Industry-University cooperative research center at the Colorado
School of Mines, and served as its first director until 1993. He has authored the book Steels: Pro-
cessing, Structure, and Performance, (ASM International, 2005), coauthored the book Tool Steels, fifth
edition, (ASM International, 1998), and edited or coedited conference volumes on tempering of
steel, carburizing, zinc-based coatings on steel, and microalloyed forging steels. He has published
over 330 papers and lectured widely in technical conferences, universities, corporations, and ASM
International chapters, presenting a number of keynote, invited, and honorary lectures. He presented the Edward DeMille Campbell
Memorial Lecture of ASM International in 2000, the Howe Memorial Lecture of ISS in 2003, and the Adolf Martens Memorial Steel Lecture of
AIST in 2010.
Dr. Krauss has served as the president of the International Federation of Heat Treatment and Surface Engineering (IFHTSE), 1989–91,
and as President of ASM International, 1996–97. He is a fellow of ASM International, TMS, and IFHTSE. He has been awarded the Adolf
Martens Medal of the German Society for Heat Treatment and Materials, the Charles S. Barrett Silver Medal of the Rocky Mountain Chapter
of ASM, the George Brown Gold Medal of the Colorado School of Mines, and several other professional and teaching awards, including the
ASM Albert Easton White Distinguished Teacher Award in 1999. He is an honorary member of the Iron and Steel Institute of Japan,
a distinguished member of the Iron and Steel Society, an honorary member of ASM International, and an honorary member of the Japan
Institute of Metals.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
CONTRIBUTORS TO VOLUME 4

E. Arab-Tehrany L. Hultman
Université de Lorraine, France Linköping University, Linköping, Sweden
R. Bandorf J. Koskinen
Fraunhofer-Institut für Schicht- und Oberflächentechnik Aalto University, Espoo, Finland
IST, Braunschweig, Germany
M. Leskelä
P. Baroch University of Helsinki, Finland
University of West Bohemia, Plzen, Czech Republic
X. Liu
G. Bräuer Shanghai Institute of Ceramics, Shanghai, PR China
Fraunhofer-Institut für Schicht- und Oberflächentechnik
A. Lotz
IST, Braunschweig, Germany
Max Planck Institute for Polymer Research, Mainz,
E. Broitman Germany
Linköping University, Linköping, Sweden
S. Matsui
D. Cameron University of Hyogo, Hyogo, Japan
Lappeenranta University of Technology, Lappeenranta,
P.H. Mayrhofer
Finland
Vienna University of Technology, Wien, Austria
P.F. Carcia
J. Musil
DuPont Central Research and Development,
University of West Bohemia, Plzen, Czech Republic
Wilmington, DE, USA
J. Niinistö
S. Desobry
University of Helsinki, Finland
Université de Lorraine, France
P. Pichat
D.P. Dowling
CNRS/Ecole Centrale de Lyon (STMS), Ecully CEDEX,
University College Dublin, Dublin, Ireland
France
L. Duque
Y. Qiao
Max Planck Institute for Polymer Research, Mainz,
Shanghai Institute of Ceramics, Shanghai, PR China
Germany
R. Rachbauer
R. Förch
Montanuniversität Leoben, Leoben, Austria
Max Planck Institute for Polymer Research, Mainz,
Germany and Institut für Mikrotechnik Mainz GmbH, M. Ritala
Mainz, Germany University of Helsinki, Finland
L. Guan F. Rovere
Hebei University, Baoding, China Montanuniversität Leoben, Leoben, Austria and RWTH
Aachen University, Aachen, Germany
D. Hegemann
Empa, St. Gallen, Switzerland J.M. Schneider
RWTH Aachen University, Aachen, Germany
D. Holec
Montanuniversität Leoben, Leoben, Austria Y. Setsuhara
Osaka University, Osaka, Japan

xi
www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
xii Contributors to Volume 4

V. Sittinger A. Yli-Pentti
Fraunhofer-Institut für Schicht- und Oberflächentechnik Metropolia University of Applied Sciences, Vantaa,
IST, Braunschweig, Germany Finland
R. Tietema P. Zeman
Hauzer Techno Coating BV, Venlo, The Netherlands University of West Bohemia, Plzen, Czech Republic
N. Toyoda D. Zhang
University of Hyogo, Hyogo, Japan Huazhong University of Science and Technology,
Wuhan, China
P. Vuoristo
Tampere University of Technology, Tampere, Finland

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
CONTENTS OF VOLUME 4

Editor-in-Chief v
Editorial Board vii
Contributors to Volume 4 xi
Preface xv

4.01 Introduction to Films and Coatings: Technology and Recent Development 1


D Cameron
4.02 Cathodic-Arc and Thermal-Evaporation Deposition 3
J Koskinen
4.03 Magnetron Sputtering 57
G Bräuer
4.04 High Power Impulse Magnetron Sputtering e HIPIMS 75
R Bandorf, V Sittinger and G Bräuer
4.05 Atomic Layer Deposition 101
M Leskelä, J Niinistö and M Ritala
4.06 Laser Ablation 125
D Zhang and L Guan
4.07 Surface Processing Using Cold Atmospheric Pressure Plasmas 171
D P Dowling
4.08 Ion Beam Deposition: Recent Developments 187
N Toyoda and S Matsui
4.09 Plasma Polymer Deposition and Coatings on Polymers 201
D Hegemann
4.10 Thermal Spray Coating Processes 229
P Vuoristo
4.11 Electroplating and Electroless Plating 277
A Yli-Pentti
4.12 Plasma Sources in Thin Film Deposition 307
Y Setsuhara
4.13 Hard Nanocomposite Coatings 325
J Musil, P Zeman and P Baroch
4.14 Protective Transition Metal Nitride Coatings 355
P H Mayrhofer, R Rachbauer, D Holec, F Rovere and J M Schneider

xiii
www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
xiv Contents of Volume 4

4.15 Advanced Carbon-Based Coatings 389


E Broitman and L Hultman
4.16 Photocatalytic Coatings 413
P Pichat
4.17 Biocompatible Coating 425
Y Qiao and X Liu
4.18 Antimicrobial Bioactive Polymer Coatings 449
R Förch, L Duque and A Lotz
4.19 Thin-Film Diffusion Barriers for Electronic Applications 463
P F Carcia
4.20 Diffusion Barrier Layers for Edible Food Packaging 499
S Desobry and E Arab-Tehrany
4.21 Large-Scale Industrial Coating Applications and Systems 519
R Tietema

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
PREFACE

In recent years considerable advances have taken place in materials and their processing technologies, the pace
of which is progressing at an accelerated rate. It is therefore vitally important that researchers, academics, design
engineers, and manufacturing technologists be up to date with these new developments. Such developments
open up excellent opportunities to improve effective utilization of material resources, improve efficiency,
reliability, durability, and cost effectiveness of the products. This comprehensive series will serve researchers all
over the world in keeping them fully abreast of such new developments.
Comprehensive Materials Processing is a one-stop resource consolidating and enhancing the literature of the
materials processing and manufacturing universe. The work addresses recent progress in materials technologies
and science as well as covering recent developments in specific manufacturing processes involved with materials
for applications in engineering, biomedical, environmental protection, health and safety, and sensor materials
and technologies. The authoritative analysis of these processes will assist scientists and engineers in the selec-
tion, design, and usage of materials, whether required in the lab or in action across industry.
As the editor-in-chief of this comprehensive series, a truly collaborative work, I am greatly indebted to the
volume editors, internationally renowned experts in their fields, for guiding and selecting the topics for their
respective volumes, commissioning authors, and reviewing the content so meticulously. Their true dedication to
the scientific community is reflected in the time and energy they have given to this project. My sincere thanks are
also due to all of the authorseresearchers and materials practitioners who have contributed extensive coverage
of literature review as well as recent works of research to this huge project. Their insight and specialist knowledge
in their respective fields is reflected in the high quality of this work.
Myself, the three associate editors-in-chief, and all of the volume editors are greatly appreciative of all the
hard work undertaken to turn this idea into a publishable work. Our special thanks go to Gemma Tomalin, the
project manager, along with Joanne Williams, and the rest of the team at Elsevier who served successively to
keep the project on track through friendly nudges in order to ensure timely completion. We are also hugely
grateful to Mohamed Imrankhan for his dutiful coordination of the proofs.
The extensive academic discussion of core theories and applications, supplemented by applied case studies
and advanced multimedia features has drawn together numerous areas of research and I sincerely hope that this
work will prove to be of great help to both the young and experienced members of the international research
community, academics, and industrial practitioners for many years to come.
Saleem Hashmi
Editor-in-Chief

xv
www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
This page intentionally left blank

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
4.01 Introduction to Films and Coatings: Technology and Recent Development
D Cameron, Lappeenranta University of Technology, Lappeenranta, Finland
 2014 Elsevier Ltd. All rights reserved.

The topic of films and coatings is an extensive one covering everything from paints to nanolayered surface modifications. It has
a long history stretching for at least 40 millennia in the form of cave paintings and is also at the leading edge of current research with
carbon nanostructured layers being an example here. There are many applications of high-technology coatings, from friction
reduction in motor engines to decorative coatings on jewelry and microelectronics to barrier layers for protection of food.
The development of functional coatings has led to enormous increases in performance in machinery operation, efficiency in
manufacture, and reduction in the production of waste materials.
It is extremely difficult to do justice to such an enormous field of work in one volume and, to an extent, it is an eclectic mixture of
topics. I have based the contents of this volume, loosely, on thin films. I have, however, stretched the definition of ‘thin’ films,
typically thought of as in the micrometer range and lower, to include thicker layers such as those produced by the techniques of
thermal spraying and electroplating where thicknesses in the hundreds of micrometers are typical. Again, the choice of topics is
arbitrary to an extent but covers both the coating processes themselves and some diverse applications. Some of these processes have
been in use for many decades; for example, electroplating and physical vapor deposition. However, the striking feature is that they
are all, even the most ancient processes, under a continual process of development and improvement, and the level of research
continues to increase. A search for research articles on the topic of ‘thin films’ shows that over the last 20 years, approximately
195 000 research articles have been published, and the current publication rate is approximately 16 000 per annum.
The chapter deals with the deposition techniques and covers low-pressure physical vapor deposition techniques such as sput-
tering, arc, ion beam, and laser deposition. It also highlights the most recent developments, for example, high-power impulse
magnetron sputtering, which has led to new developments in magnetron and power supply design and new ways of influencing the
deposition process. The topic of atomic layer deposition is covered, as well. This technique was initially proposed almost 50 years
ago, but there has been an explosion of interest in the last 5 years for a range of applications. Atmospheric pressure processes are
widely used for thicker films, particularly in thermal spraying and its variants such as plasma spraying. Atmospheric pressure
chemical vapor deposition processes for thin films are increasingly considered because they avoid the complexities and costs of
vacuum processing.
In many of these processes, the existence of plasma is a crucial part of the operation, and an account of plasma sources used in
thin-film deposition is included. Wet processes with a long industrial history such as electroplating are of enormous economic
importance, and these are also covered.
The chapters on the applications of coatings include mechanical engineering applications such as hard, wear resistant, and
protective coatings with an emphasis on their large-scale industrial usage. The very wide range of other uses is illustrated in such
examples as in food packaging, photocatalysis, and biocompatibility. In many cases, coatings are applied to relatively soft polymer
materials for both decorative and strengthening purposes, so this is covered in one chapter. The broad range of techniques used to
provide decorative enhancement to objects is detailed. The use of coatings to enhance appearance – perhaps one of the oldest uses –
has a chapter unto itself. New types of materials are continuously being developed, and the chapter on carbon-based coatings gives
an account of recent work in this field.
Overall, this volume provides a robust picture of the current capabilities and state-of-the-art broad range of coatings and their
applications.

Comprehensive Materials Processing, Volume 4 http://dx.doi.org/10.1016/B978-0-08-096532-1.00400-3 1


www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
This page intentionally left blank

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
4.02 Cathodic-Arc and Thermal-Evaporation Deposition
J Koskinen, Aalto University, Espoo, Finland
 2014 Elsevier Ltd. All rights reserved.

4.02.1 Introduction 3
4.02.2 Thermal Evaporation 4
4.02.2.1 Basics 4
4.02.2.2 Evaporation Geometry 6
4.02.2.3 Evaporation Sources 6
4.02.2.4 Web Coating 7
4.02.2.5 Corrosion Coating 7
4.02.2.6 Solar Cells 8
4.02.2.7 Polymeric Film Deposition 10
4.02.2.8 Spotless Vacuum Arc Process 10
4.02.3 Cathodic-Arc Deposition Process 10
4.02.3.1 Cathodic Arcs from the First Experiments to Modern Industrial Deposition 10
4.02.3.2 Unique Features of Cathodic-Arc Deposition 12
4.02.3.3 Ignition and the Cathode Spot 14
4.02.3.3.1 Rapid Sequence of Microexplosions 15
4.02.3.4 Arc Plasma 17
4.02.3.5 DC Vacuum Arc 19
4.02.3.6 Pulsed Vacuum Arc 20
4.02.3.7 Macroparticles 23
4.02.3.7.1 Particle Effects 23
4.02.3.7.2 Control of Particle Evolution 25
4.02.3.7.3 Particle Filtering 27
4.02.3.8 Typical Coatings by Cathodic-Arc Deposition 30
4.02.3.8.1 ta-C 30
4.02.3.8.2 Nitrides 34
4.02.3.8.3 Oxides 36
4.02.3.9 Ultrathin Films and Nanolaminates 39
4.02.3.9.1 Multilayers, Superlattices, and Nanolaminates 40
4.02.3.9.2 MAX Phase 41
4.02.3.10 Applications of Cathodic-Arc Deposited Films 41
4.02.3.10.1 Tribology 41
4.02.3.10.2 Optical Cathodic-Arc Coatings 47
4.02.3.10.3 Decorative Coatings 49
4.02.3.10.4 Biological Applications 51
References 53

4.02.1 Introduction

Cathodic-arc deposition and thermal-evaporation processes have almost a century-long history as technical solutions to produce
thin films. The two technologies have developed to the specialized directions owing to the particular physical characteristics of the
processes. They both share common features: solid material as a source, melting of source material, limited heat load to the
substrate, line-of-sight process, and upscalability at moderate cost. However, they also have big differences such as the ionization
and kinetic energy of the growing species. The biggest problem related to cathodic-arc deposition is the inherent evolution of
macroparticles. This has possibly slowed its development in comparison to the recent new deposition technologies such as high-
power impulse magnetron sputtering (HIPIMS) (see Chapter 4.04, HIPIMS, in this book). Thermal evaporation allows limited
control of the growing film microstructure, which has led to the development of various plasma-assisted deposition processes.
In this chapter, an overview of cathodic-arc deposition and thermal evaporation is presented. As regards the cathodic-arc process,
the recent and excellent book by Dr Andre Anders from the Lawrence Berkeley Laboratory in the United States, Cathodic Arcs: From
Fractal Spots to Energetic Condensations, has been a major source of material for this chapter. The main effort of this chapter has been
to collect the new research results published in the short period from 2010 until mid-2012. A strong weight on research on
tetrahedrally coordinated diamondlike carbon (DLC, specifically ta-C) films in this chapter is a result of the personal background in
this field by the author. A long-lasting interest in cathodic-arc processes has led me to write on that topic much more extensively
than on thermal evaporation, a choice that is not necessarily justified by the technical relevance of this coating process.

Comprehensive Materials Processing, Volume 4 http://dx.doi.org/10.1016/B978-0-08-096532-1.00409-X 3


www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
4 Cathodic-Arc and Thermal-Evaporation Deposition

4.02.2 Thermal Evaporation

Thermal evaporation is one of the most traditional vacuum physical vapor deposition (PVD) methods. Owing to the possibility to
obtain a high deposition rate, the process is relatively easily upscalable. It is applied to many different substrate materials, including
polymers, metals, and glasses. At the moment, thermal evaporation is used to produce thin-film coatings at economic prices in large
volumes. In research into high-performance thin films, other PVD methods that apply plasma and energetic ions have replaced thermal
evaporation. However, there is a growing interest in using this method for the web coating of polymers and processing of nanomaterials.

4.02.2.1 Basics
The basic setup of thermal evaporation includes a vacuum chamber, a source of evaporated material, and a substrate holder, often
including substrate movement, as shown in Figure 1.
In Table 1, some differences of thermal evaporation and magnetron sputtering are listed:
The vacuum level for thermal evaporation is a practical question of the impurity requirements of the coating and substrate. When
the film has to be free of impurities, a UHV vacuum system capable of pressure down to 109 mbar is needed and the deposition
conditions are similar to those of molecular beam epitaxy (MBE) systems. If the coating functionality is not sensitive to water vapor
contaminants, a moderate 104 mbar vacuum level is adequate. An example is the deposition of barrier films for packaging
applications. The molecule mean free path is inversely proportional to the pressure and affects, for example, the kinetic energy of the
molecule hitting the growing surface.

Figure 1 Schematic presentation of a thermal-evaporation system used for silicon wafers or optical lenses.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
Cathodic-Arc and Thermal-Evaporation Deposition 5

Table 1 Comparison of thermal evaporation with magnetron sputtering (partially obtained from textbooks)

Evaporation Sputtering
1
Deposition rate Up to mm s <1 nm s1
Choice of materials Limited Almost unlimited
Purity Excellent (at ultrahigh vacuum (UHV)) Impurities from deposition system
Substrate heating Very low Could be high
In situ cleaning Not available (without addition of ion sources) Easily with sputter etching
Stoichiometry Little control (needs multiple sources with good control) Easier to control
Change of source material Easy Expensive
Radiation damage X-ray with e-beam Energetic ions
Decomposition of material High Low
Scaling up Resistive heating easier, e-beam difficult Good
Uniformity Difficult Easy over large surface
Capital costs Low High
In situ thickness control Easy Difficult
Number of depositions One per charge Many per target
Material consumption Material left in crucibles Only part of target material can be used
Adhesion Poor Excellent
Shadowing effect Large Small
Film properties Difficult to control, porous Controllable with bias, pressure, substrate
temperature, dense
Lift-off masking Easy Difficult
Step coverage Poor Good, could be controlled
System layout flexibility Low High

The thermal-evaporation rate depends on the vapor pressure of the material. The deposition flux jvap is:

jvap ¼ aav ðpeq  pi Þ$ð2pmkTÞ1=2 [1]

where peq is the equilibrium vapor pressure of the material at the vapor source; pi is vapor pressure at the growing surface, m is the
mass of the molecule; T is temperature; k is the Boltzmann constant; and aav is the vaporization coefficient, which is the proportion
of those surface-impinging molecules that condense on the growing surface. peq increases as temperature increases, and a practical
deposition speed is achieved when peq is about 102 mbar. As a general rule, this vapor pressure is achieved close to the melting
temperature of the material. In Figure 2, the practical evaporation temperature and the melting point of some materials are shown
(1).

Figure 2 A practical evaporation temperature for several metallic elements when the vapor pressure is about 102 mbar. After Mahan, J. E. Physical
Vapor Deposition of Thin Films; John Wiley & Sons, 2000.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
6 Cathodic-Arc and Thermal-Evaporation Deposition

4.02.2.2 Evaporation Geometry


Often, the evaporation source is a point source, as shown in Figure 3.
For a point source, the dependence of the deposition flux to the geometry is:

jvap ¼ aav ðpeq  pi Þ$ð2pmkTÞ1=2 cosðQÞcosðfÞ=pR2 [2]

Thus, the deposition rate is dependent on the distance, and on the angle from the point source Q and angle f of the specimen
normal to the point source. If the specimen is located in a radial geometry, as indicated in Figure 3(b), then cos(Q) ¼ cos(f) ¼ R/2r.
The jvap reduces to:

jvap ¼ aav ðpeq  pi Þ$ð2pmkTÞ1=2 =4pr 2 [3]

Then the growth rate of the film is independent of the angles and the distance R.

4.02.2.3 Evaporation Sources


The different evaporation sources could be listed as follows:
l Resistive heating
B boats of conductive material (refractory metal or carbon)
B wire baskets
B wire-heated crucibles
B plated rods
B box sources
l Inductive heating
l Electron beam heating

Resistive heating is suitable for materials with a low melting temperature. For the wire sources, the evaporant has to wet the
filament, and the melt has to stay at the filament by surface tension. A typical amount is maximum 1 g. For the boat, the amount is up
to a few grams. The box source is a resistively heated crucible covered with a plate allowing the vapor to exit through small orifices. The
plate is used in order to limit droplets from the splashing melt, which is typical for melts like silicon monoxide or zinc sulfide.
The resistive-heating boats may be equipped with wire feeding to replenish the evaporated materials. Mechanical feeding to the
melt from a wire spool inside the deposition chamber can be used to upscale the evaporation, for example, of copper and aluminum
with several g min1 and tens of kg amounts with one source in one charge.
A high current of focused electrons may be steered by magnetic lenses to a crucible in order to evaporate materials. The electron
beam power can be adjusted from one kW to hundreds of kW. The crucible is commonly cooled by water, which minimizes the
contaminants in the deposition. If the evaporated material has high thermal conductivity, a crucible of thermally insulated
refractory material may be used. A typical electron beam source is schematically shown in Figure 4 (2).

Figure 3 The geometry of thermal evaporation with an evaporation source and specimen. (a) Specimen at arbitrary position and (b) specimen position at
radial symmetry.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
Cathodic-Arc and Thermal-Evaporation Deposition 7

Figure 4 Electron beam evaporation. After Bringham Young University, Provo, UT, USA. http://www.cleanroom.byu.edu/metal.phtml.

The selection of materials that may be deposited by thermal evaporation is quite extensive, including most of the solid elemental
materials, oxides, carbides, and sulfides. In reactive evaporation, typically oxygen, nitrogen, or carbon-containing gases are added to
control the stoichiometry of oxides, nitrides, and carbides.
Tables and selection guidance for the selection of evaporation sources for the different materials are available from equipment
manufacturers and in textbooks. A comprehensive table is provided online by Brigham Young University (3).

4.02.2.4 Web Coating


The upscalability of the deposition rate and the low thermal load to the substrate have enabled the development of web-coating
processes with high productivity.
The coated polymers include PE (polyethylene), PET (polyethylene terephthalate), PP (polypropylene), CPP (cast poly-
propylene), and BOPP (biaxially oriented polypropylene). The metal-coated polymer webs have similar electrical, optical, and gas
barrier properties to metal foils. The applications are, for instance (4):
l electric capacitors,
l gas, light, and ultraviolet (UV) barriers for flexible food packaging,
l thermal radiation screens for building envelopes,
l reflective coatings
l decorative wrappings.

The technology for coating polymeric webs with metals for the packaging industry has been available for decades. Yet, today the
demand for better, low-cost barriers against oxygen and water is high. The evaporated aluminum and alumina coatings provide an
oxygen transmission rate (OTR) better than the substrate PET polymer by a factor of up to 100 (Figure 5,5).
The transparent aluminum oxide stacked with thin aluminum layers provides a coating with interference colors. The color
depends on the angle of inspection and provides a strong decorative appearance to the polymer surface. It is also used as an
anticounterfeiting application to protect production brand names.
The emerging technology of printed electronics is another field of production with high expectations to produce electronic
devices integrated to low-cost mass products such as lab-on-ship, smart packaging, and electronic paper. The standard process for
printed electronics is gravure printing of functional inks, hot embossing, and lamination. The demand for barriers (e.g., for organic
light-emitting diode (OLED) films) is high but not yet fulfilled by applying ink-based transparent layers. The construction of hybrid
web systems, including vacuum deposition and in particular the high deposition rate of thermal evaporation, has growing potential.
In Figure 6, a schematic illustration of a web coater with thermal evaporation is shown (6).
The very high production rate of a web up to 2500 mm wide that is coated with a speed up to 17 m s1 requires an array of high-
capacity evaporation sources. In Figure 7, an array of aluminum sources used in a web coater to produce layers of aluminum and
alumina is shown.

4.02.2.5 Corrosion Coating


Yet another large-scale coating process of evaporated aluminum is the deposition of corrosion-resistant coatings for aircraft
components. The standard solution, which is used in aircrafts still in action today, was designed originally in the 1960s and relies on

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
8 Cathodic-Arc and Thermal-Evaporation Deposition

Figure 5 OTR as a function of layer thickness of the thermally evaporated film. After Ludwig, R.; Josephson, L. Clear Barriers and High Volume Productivity,
Applied Materials Ltd. Polymers Laminations, Adhesives Coatings Extrusions PLACE 2006 Conference, September 17-21, 2006, Cincinnati, Ohio.

cadmium anticorrosion coatings. Serious environmental problems related to cadmium have forced the industry to search for
alternative solutions. Electrolytic plating of aluminum requires toxic electrolytes due to the high affinity of aluminum for oxygen.
A process called directed vapor deposition (DVD) has been developed by the University of Virginia (8). In this process, thermally
evaporated aluminum interacts with a supersonic gas jet with a pressure in the range of 1–50 Pa. The interaction with the gas jet
enables steering of the evaporated aluminum out of the line-of-sight path and depositing aluminum on 3D components, as well as
into holes and cavities.

4.02.2.6 Solar Cells


Photovoltaic cells are an application with very high growth potential. According to solar energy predictions, large surface areas of
low-cost high-efficiency solar cells will be assembled in places with intense sunshine such as deserts. The high surface area and low
cost require scalable thin-film processes where thermal evaporation has been shown to be a viable manufacturing technology.

Figure 6 Schematic illustration of a web-coating device with thermal evaporation. After Ludwig, R.; Kukla, R.; & Josephson, E. Vacuum Web Coating –
State of the Art and Potential for Electronics. Proc. IEEE 2005, 93 (8), 1483–1490.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
Cathodic-Arc and Thermal-Evaporation Deposition 9

Figure 7 Array of aluminum evaporation. With permission of Leybold Optics GmbH.

Thermal evaporation has been demonstrated in coatings of copper–indium–gallium–selenide (CIGS) cells. The growth of columnar
crystals at low temperature with large crystal size enables good transport properties in the semiconducting crystals. The solar cells are
deposited in large volumes and require an affordable substrate, such as soda–lime glass. The use of this substrate limits the possible
high substrate temperatures. Coevaporation of Cu, In, Ga, and Se has provided promising results at a moderate substrate
temperature of 450  C (9). The limited global resources of indium and gallium have motivated researchers to find alternative
photon-absorbing materials. One potential candidate is Cu2ZnSn(S,Se)4 (CZTS), which has a kesterite structure, where every two In
or Ga atoms in the chalcopyrite structure are replaced by a Zn and Sn atom. Coevaporation of Cu, Zn, Sn, and Se has enabled very
high efficiency of the CZTS cell. The cross section of the deposited film is shown in Figure 8 (10).
The solar cell large-area evaporation industry also has a demand to deposit uniform coating thickness at low deposition
temperatures. New linear evaporation sources have been developed for the growing market of solar cell production. One example is

Figure 8 Scanning electron microscopy: (a) cross section and (b) plan view of coevaporated CZTS film. Reproduced from Repins, I., et al.
Co-Evaporated Cu2ZnSnSe4 Films and Devices. Sol. Energy Mater. Sol. Cells 2012, 101(C), 154–159.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
10 Cathodic-Arc and Thermal-Evaporation Deposition

Pressure
compartment
and slit

Vapor-shaping channel

Vapor

Crucible

Substrate

Figure 9 Schematic of the VPT linear vapor source. After Vacuum Process Technology, LLC, http://www.vptec.com/products_deposition.htm.

a VPT (Vacuum Process Technology LLC) linear vapor source where the vapor flow is directed by vapor-shaping guides. The guides
are metal sheet plates directing vapor from higher vapor pressure regions to the growing surface, as shown in Figure 9 (11).

4.02.2.7 Polymeric Film Deposition


Thermal evaporation is also used to produce polymeric coatings. Thermal evaporation by resistive heating of polymers is limited by
the low thermal conductivity of polymers. On the other hand, electron beam evaporation of organic materials is limited by the
decomposition of the molecules. However, there are some applications where polymeric films have been grown successfully by
thermal evaporation, such as PTFE and nylon in metal–polymer nanocomposite films (12). The main problem is the decrease of
molecular weight at thermal heating. Small polymers up to several thousands of g mol1, equivalent to tens of monomeric units,
have been successfully deposited by vacuum thermal evaporation (13). Polythiophenes (PTh) are important molecules for
producing polymeric semiconducting materials. PTh molecules have successfully been coated by thermal evaporation at 300  C.
A deposition rate of 1 nm min1 has been achieved. The films were highly crystalline without additional annealing. Polymer solar
cell devices have been demonstrated by using these evaporated PTh coatings (14).

4.02.2.8 Spotless Vacuum Arc Process


Thermal evaporation may be assisted by plasma in order to induce ion bombardment to the substrate. In the ion-plating process,
a glow discharge is induced, typically in an argon-containing ambient. The cathode is normally the substrate, and the other electrode
is the vacuum chamber. When arc-discharge plasma is induced between the heated cathode and anode, a deposition process often
called a spotless vacuum arc results (Figure 10) (15). This process has been applied to various metals and nitrides when nitrogen
ambient is used. When an ambient gas is used, the cathode surface needs to supply a sufficient number of thermionic electrons to
allow an arc discharge. The ionization rate of the metal species has been reported to be up to 50%. In order to avoid harmful coating
accumulation on the cooled anode, a heated anode was added that takes part in the evolution of metal plasma in the process
(Figure 11) (16). As the arc does not produce cathodic spots as in the case of a cathode arc on an unheated surface (to be discussed
in detail in this chapter), no droplets are produced.

4.02.3 Cathodic-Arc Deposition Process


4.02.3.1 Cathodic Arcs from the First Experiments to Modern Industrial Deposition
The history of the cathode arc has been recently documented in great detail by A. Anders (17), and the following short history relies
on that source.
The history of cathodic-arc deposition begins along with the history of electricity and the ability to generate electric current.
Experimentation on pulsed arcs or sparks parallels the development of capacitors. Observations of continuous current arcs date to
the times of the development of the battery. The basic phenomena of the cathodic arc were already known but not properly
understood in the early 1900s. Industrial cathodic-arc coating emerged in the last quarter of the twentieth century.
Going to the early history of the cathodic arc, sparks obtained from short-circuiting capacitors were recorded when the Leyden jar
was developed. It is a capacitor constructed from a glass bottle with tin foil inside and outside. Notes of sparks were recorded in the
1750s. Priestly (1734–1804) noted arc spots, metal particle evolution, and a dark-layer deposition, most probably oxidized metal
films. Transparent coatings with Newton rings that were hard to wipe off by the fingertip were also observed.
The development of a battery composed of stacked piles of metal plates of Zn and Ag or Cu followed the inventions of Ales-
sandro Volta (1745–1827). Originally, electricity was associated with animals, which were capable of both producing electricity

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
Cathodic-Arc and Thermal-Evaporation Deposition 11

Figure 10 Schematic illustration of a spotless arc-activated deposition.

(e.g., eels) and reacting to electricity (e.g., frogs). The electric effect of the first stacks of metal batteries was evaluated by recording the
sensation of a human to the shock (much like the quality of wine is evaluated by human sensing today, but perhaps not using as
poetic descriptions). The first battery, of about 60 pairs of metal plates, was used to demonstrate electrochemistry and electro-
plating. Humphrey Davy (1778–1829) constructed a battery pile of about 150 pairs of Cu/Zn in a wooden box. He observed pulsed
arcs using different metal electrodes in air. Vasilii Petrov (1761–1834) in St. Petersburg built an extremely large Volta’s pile of 4200
pairs of Cu/Zn capable of producing continuous current arcs.
Low-pressure experiments were possible after the invention of the vacuum pump. Davy demonstrated the carbon arc lamp at low
pressure for the Royal Society using a Volta’s pile of 2000 pairs of plates.
The invention of induction, known from reports of M. Faraday (1791–1867), enabled researchers to produce high voltages for
capacitor charging. A German, H. D. Rühmkorff (1803–1877), developed a practical high-voltage pulsed current source by applying
induction and an interrupted primary current into a spark coil.

Figure 11 Spotless arc-assisted deposition with an electron beam–heated titanium cathode and anode. Reproduced from Scheffel, B.; Modes, T.;
Metzner, C. Spotless Arc Activated High-Rate Deposition Using Novel Dual Crucible Technology for Titanium Dioxide Coatings. In Extended 20 Abstract
14th International Conference on Plasma Surface Engineering, September 10–14, 2012, in Garmisch-Partenkirchen, Germany.With permission of
 Fraunhofer FEP.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
12 Cathodic-Arc and Thermal-Evaporation Deposition

The evolution of vacuum came into public awareness with a famous show arranged by O. Guericke in Magdeburg in 1654. He
demonstrated the bonding of two hollow hemispheres pumped to low pressure. The piston pump of that time was limited by a leak
in the piston to probably about 1 Pa. J. Geissler (1814–1879) developed a pump with mercury as a sealant in the piston, reducing
the minimum pressure to the vapor pressure of Hg. By using the new power supply and the mercury pump, J. Plücker reported in
1858 the cathodic-arc deposition of metallic films on glass with a mirror appearance. M. Faraday studied systematically the
deposition of different metallic films on a similar device as Geissler by using metals such as Cu, Sn, Fe, Pb, and Zn.
The arc discharge was used by G. Stokes (1831–1879) to investigate the spectral lines in the optical emission of metal plasmas.
Until late 1800s, cathodic-arc development was motivated mainly as a technical solution to illumination.
The early 1900s was the time of the evolution of modern physics. A number of publications on vacuum arcs appeared in relation
to the discovery of the electron and ionization. Experiments demonstrated the evolution of the cathodic spot, steering of the spot,
arc voltage, and probes for plasma measurement. The distinction between cathodic and thermionic arcs was established, and the
effect of oxygen on the cathode spot was recorded. Until the 1920s, the technical applications of mercury arc rectifiers became
particularly important in electric power systems.
The cathodic arc had a role in the massive development of uranium enrichment in the United States during the Second World
War. In the latter half of the 1900s, knowledge of cathodic arcs increased as a result of the advent of ultrafast cameras. In the 1960s,
the current density at the cathode spot was determined to be at least 1011 A m2, and eventually in the 1970s, values of up to
1012 A m2 were reported. Ion velocity was determined to be at a supersonic value of 104 m s1. An explanation for ion acceleration
was available only in the 1990s.
The evolution of industrial cathodic-arc coating started in the 1960s and 1970s. Thermal evaporation was the standard method
of producing thin films at the time. The main application was decorative coating. The deposition of refractory coatings as super-
conducting films (Ta, Nb, and V) was being reported by the 1950s.
In the Soviet Union, strong development of arc processing started in the 1960s. The main application of arc deposition was for
vacuum getter pumps. They were developed in the National Science Center at the Kharkov Institute of Physics and Technology
(NSC KIPT). Research on various hard coatings processed from refractory nitrides and carbides and experiments on DLC were
carried out. The intense development of the still-ongoing thermonuclear fusion reactor included the development of magnetic
confinement of plasma. The KIPT group of V. M. Khoroshikh, V. E. Strel’nitsij, I. I. Aksenov, and V. A. Belois applied the quarter
torus to demonstrate the classic 90 plasma filter for the cathodic-arc source. The industrial cathodic-arc process was based on
several models of a plasma source called Bulat, starting in 1974. During the 1960s–80s, a number of Soviet patents on cathodic-
arc processes were made.
In the United States and Japan, the first cathodic-arc reports were given at the International Symposium of Discharges and
Electrical Insulation (ISDEV) in 1960. In 1979, the Bulat and related plasma sources were applied by a US company, Nobel Field
(now Multi Arc Vacuum Systems Inc.). Cathodic arc has been the core technology of a number of vacuum-coating developers and
job coaters in the Western world since the 1980s. The strength of the cathodic-arc coating industry is its ability to produce high-
quality thin films at competitive costs. The major markets have been decorative and wear-resistant coatings. The largest drawback
is the evolution of microparticles and defects in the coatings that these particles cause. A balance of quality and price has driven
the development and optimization of filtering and steering of the arc in order to apply cathodic arcs to industrial coating
processes.
The needs for twenty-first century thin films often do not allow macroparticles or defects in the films, which is driving the
evolution of highly effective filtering. Industrial deposition devices with sophisticated filtering have been demonstrated: S-shaped,
large-area double-bent, and other filter designs are described more in Section 4.02.3.7. The benefit of high ionization has motivated
the development of cathodic-arc processes for research into and application of nanostructured thin films, ultrathin films, multi-
component films, self-lubricating coatings, and hybrid deposition systems combining arcs with magnetron sputtering and other ion
sources.

4.02.3.2 Unique Features of Cathodic-Arc Deposition


When cathodic-arc plasma erodes, a solid electrode and the ionized species condense on a solid surface at a low ambient pressure,
and a PVD process called vacuum cathodic-arc deposition is obtained. The cathodic-arc discharge is localized in small spots on the
cathode. The high-discharge current density at the cathode spots is the reason for the benefits and problems of the cathodic-arc
deposition process when compared to other PVD processes.
Cathodic-arc deposition is a unique thin-film process due to the fact that (1) the film grows from practically fully ionized species,
and (2) the ions have a kinetic energy Ekin of tens of eV. The cathodic arc also has a unique disadvantage with the evolution of
macroparticles of the cathode material.
Energetic ions are the key to all nonthermal PVD processes. The growth of the film is associated with the impact of an ion with
kinetic energy well above the thermal energy of vapor. A collision cascade and penetration into the subsurface atomic layers result.
Surface bombardment sputters loose species from the surface, which allows dense material to grow, aids mobility at the surface, and
compensates for the substrate temperature often required for thermal vapor processes. The effects of ion energy and substrate
temperature are described in a phenomenological model by Thorton. A. Anders has modified the diagram and relates the originally
used parameters of PVD pressure and substrate temperature to more physical and universal parameters (18). The modified structure
zone model is shown in Figure 12.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
Cathodic-Arc and Thermal-Evaporation Deposition 13

Figure 12 Structure zone model of thin films grown in energetic ion bombardment as a function of temperature modified from Anders, A. A Structure
Zone Diagram Including Plasma-Based Deposition and Ion Etching. Thin Solid Films 2010, 518 (15), 4087–4090.

There are three parameters in the T*, E*, and t*. T* is the generalized temperature:
T
¼ Ts=T þ Tpot ; [4]
m

where Tpot ¼ Epot/(kNmoved); Ts is the substrate temperature; and Tm is the melting temperature. Epot is the potential energy related to
the energy of sublimation and ionization gained when an ion enters the surface. The energy is divided by the number of atoms
Nmoved rearranged at the heated location of the incoming ion.
E* is the normalized energy related to the displacements and heating caused by the incoming ion. In the simplest case of a film
growing from single species of energetic ions,
E
¼ Ekin=E ; [5]
c

where Ec is the cohesive energy of the material.


The vertical axis of the graph t* is the net thickness of the film.
In the model, the different zones 1–T–2–3 with particular types of crystalline structure are visualized. The zones are dependent
on the ion energy and substrate temperature. Zone 1 has very low adatom mobility, resulting in a fine-grained textured structure
with lots of imperfections. Zone 2 is a uniform columnar structure, and Zone 3 a recrystallized dense film with large crystal size.
Zone T is a transition zone with homogeneous structure of V-shaped grains throughout the whole film. Zone T is reached by
applying a deposition process with a sufficiently high ion energy and ionization rate such as HIPIMS and, in particular, cathodic-arc
processes. In the structural zone model, regions that are not accessible are indicated. The high E* contributes to elevated T* due to
the Tpot term, and thus T* cannot be arbitrarily low at high E* values. When E* is even higher, the self-sputtering coefficient becomes
over unity and net etching of the substrate results.
The high ion energy and self-sputtering are important means to obtain optimal adhesion. Accordingly, cathodic-arc coatings
generally have good adhesion compared to coatings processed with other PVD methods.
The cathodic-arc process does not require an ambient gas since the species of the plasma originate from the solid cathode. The
low ambient pressure leads to a line-of-sight process. As a result, uniform deposition requires sample manipulation or a set of
cathodic-arc sources around the substrate.
The cathodic-arc process can be pulsed or direct current (DC). In the pulsed mode, the plasma density can be very high and the
deposition rate enormous during the active phase of the plasma pulse. A momentary deposition rate of over 2 mm s1 is achievable.
In DC plasma, this would be impossible due to the enormous accumulating heat load to the substrate. However, in pulsed mode,
the typical active period is only 1/1000 and heat dissipation is easily arranged. Thus, benefits to the film properties due to pulsed
plasma are achieved and will be discussed in more detail in this chapter. However, the growth of reactive coatings (e.g., aluminum)
in the pulsed mode leaves the thin-film surface prone to the oxidizing species that adsorb and react on the surface between the
pulses. This leads to difficulties in contamination control, especially in films with high purity requirements.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
14 Cathodic-Arc and Thermal-Evaporation Deposition

4.02.3.3 Ignition and the Cathode Spot


The cathode spot and its ignition are the critical phenomena in cathodic-arc deposition. The cathode spot is at the interface of
plasma and the solid conducting material (cathode). A special feature of the cathodic-arc plasma is that the plasma current is
concentrated into isolated bright spots that move on the cathode surface. When the different kinds of plasmas are observed as
a function of the discharge current, a transition from the glow discharge to the arc discharge takes place. The arc plasma is char-
acterized by significant lowering of the discharge voltage.
Cathodic-arc evolution is an unwanted breakdown event occurring in high-voltage accelerators. The electric field strength
needs to be maximized in order to maximize the acceleration of ions or electrons in vacuum. Experiments and numerical
simulations have been extensively done to investigate the breakdown using metal electrodes with an electric gap of about
20 mm and a voltage of 4–6 kV. In the case of copper electrodes, the breakdown can occur at about a 200–300 MV m1
electric field (19).
The cathodic-arc spot has a very dynamic behavior. The following phenomena are characteristic to it: a sudden evolution or
explosive emission of electrons, followed by the burst of cathode atoms and ions; the movement of the cathode spot; and eventually
the decay of the spot. The physics of the cathode processes is elaborated in great detail by A. Anders (17).
The spot evolution could be divided into four stages: (1) a pre-explosion stage, (2) an explosive stage, (3) a cooling period with
high electron emission and evaporation, and (4) a final cooldown. The different evolution steps of the cathode spot are illustrated in
Figure 13.
The ignition and other mechanisms that keep the arc burning are complex and the subject of ongoing research. There are
several phenomena that are important, such as electron work function w, electric field strength, surface geometry, plasma
parameters, ion bombardment, surface impurities, and dielectric layers on the cathode (e.g., oxides). These issues are briefly
discussed here.
The ignition at the pre-explosion stage requires a high local electron emission. This is proportional to the local electric field
strength and inversely proportional to the electronic work function of the cathode material. The work function is the amount of

(a) (b)
Electron emission

Electrons

Atoms
Electrons -
-
+
+
- -
- High plasma
- Low impedance
- current density
+
+ -
Hotspot -

Ions
Macroparticles
High local electric field strength

(c) (d)

Electrons

High impedance Cooling down


-

Hot zone with


molten cathode Atoms
material
Macroparticles

Figure 13 The evolution of the cathode spot in four stages. (a) Pre-explosion; (b) explosive stage; (c) cooling with molten cathode material; and (d) final
cooling.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
Cathodic-Arc and Thermal-Evaporation Deposition 15

energy required for an electron to escape from the conductive material from the Fermi level to the vacuum. The work function is
a material-specific parameter. It depends on the electronic structure of the material (Fermi energy) but also on the crystal structure,
surface reconstruction, and impurities on the surface. A typical value of the work function of metals is about 4 eV. The electron
emission as a function of the electric field follows the Fowler–Nordheim formula, according to which the electron emission depends
roughly on w as 1/w$exp(w3/2). Thus, a lowering of work function rapidly increases the electron emission.
The electric field on the cathode surface is related to the potential gradient at the plasma sheath. The plasma sheath is the
quasineutral boundary in the vicinity of the cathode. It self-adjusts the space charge distributions in order to sustain the balance of
the flow of negative and positive charges. The thickness of the sheath is proportional to the voltage drop, plasma density, and
electron temperature.
The ignition of the cathode spot has been observed to be related to local protruding tips. The local electric field is enhanced by
geometry so that a protrusion or a tip enhances the field as a function of the inverse of the local radius of curvature. In order to ignite
the arc plasma, an avalanche of electron emission is required. In numerical models, local protrusion tips of tens of nm in height and
radius with a field enhancement factor from 10 to 1000 have been suggested. In the case of cathode spots, which result in rapidly
solidified melt pools, such tips have indeed been observed. However, it is unlikely that in general, tips of such dimensions are
present during the pre-explosion stage. On the other hand, breakdown does not happen if no field enhancement is present.
Energetic ions bombard the cathode with the kinetic energy owing to the potential difference of the plasma and the cathode.
Depending on the ion energy, secondary electrons are emitted. The bombardment by the ions is a major reason for local high
emission of electrons.
The arc plasma is quite turbulent and unstable. The turbulence may cause local thickness variations of the cathode sheath. This
implies local ‘holes’ with reduced thickness in the sheath, resulting in high field strengths. Such holes in the plasma sheath can create
conditions favorable for arc ignition.
Adsorbed atoms on the cathode surface can lower the work function of metals provided that the adsorbed atom has a lower work
function than the cathode. Thus, impurities that in practice always decorate surfaces (except in UHV conditions) are important in
cathodic-arc ignition. The impurity effect can also be dynamic. For example, a slowly moving ion approaching the cathode surface
enhances the electron emission. An important effect similar to that of impurity atoms is the role of a dielectric or semiconducting
surface on the cathode. The nonmetallic surface affects the value of the work function, but, more importantly, a field enhancement is
associated with the formation of a thin dielectric film on the cathode. Typically, such a film is metal oxide. A metal with high affinity
to oxygen may chemisorb oxygen molecules on the surface originating from the impurities of the ambient vacuum (e.g., chamber
walls), or in the case of reactive deposition from the gas flown to the chamber. A positive charge is built on the thin oxide surface,
resulting in a high electric field leading to current breakthrough. This mechanism has been shown to be a very efficient enhancement
of arc initiation.
In summary, a field enhancement of the order of 100 is required for the ignition of arc. The origin of the field enhancement could
be a combination of spatial and temporal phenomena, including a field-enhancing tip, a lowering of work function, an increased
electric field by impurities, and a dielectric or semiconducting layer.

4.02.3.3.1 Rapid Sequence of Microexplosions


At the explosive stage, a high-density electron burst occurs. About 1011 electrons are emitted in 10 ns. Due to resistive heating, an
emission of cathode atoms follows. A large number of atoms are ionized by the bombardment of the ejected electrons. A proportion
of the ions are accelerated by the electron flux away from the cathode. A proportion of ions are accelerated back to the cathode
surface, resulting in collision cascades (depending on the maximum kinetic energy). The process is also described as a thermal run-
away where the electron current exceeds the arc current. Then, single or multiple charged ions are emitted from the cathode spot,
compensating for the excess of electron flow. In the case of copper, up to 4  1010 A cm2 ions have been observed. The heat flux
due to incoming ions could be dramatic in the case of a sufficiently high ion flux bombarding the cathode. H. Timco et al. (19) has
numerically simulated the overlap of collision cascades in the case of 10 keV Cuþ ions.
The time evolution of the arc discharge has been experimentally characterized and modeled by using numerical methods. In the
case of metal ions, an evolution of multiple charged ions is observed. In the case of copper, the average ionization stage is about 2,
and with tungsten it is 3. A. Anders has comprehensively tabulated the ion charge stage distributions, covering a large part of the
periodic table of elements (Appendix B in Ref. (17)). Typically, the plasma of the vacuum arc is composed of ions and electrons with
a very low number of atomic neutrals.
A simulation of the evolution of the arc as a function of time is shown in Figure 14.
The lifetime of cathode spots can vary between a few to hundreds of ns. There are three main reasons for spot decaying: (1)
resistive heating causing increased electrical resistance (metals), which reduces the electron emission. However, in the case of
semiconducting materials, the conductivity increases due to elevated temperature. (2) At the surface solid metal becomes liquid and
vapor. The conductivity of metal vapor is much less than the bulk metal conductivity. The electron emission decreases and the
plasma density decreases. (3) There is competition among emission sites due to increase of resistance of the spot, with a high
probability of igniting a new cathode spot.
Two types of cathode spots may be identified. Type 1 cathode spots are generally related to a contaminated surface. The
contamination of, for example, an oxide film enhances the ignition of the spot. The spots are isolated and small. The Type 2 spots are
larger and a result of higher current, and close overlapping of each other forms arc spot traces. This type normally operates once the
surface has become less contaminated as a result of, for example, Type 1 cathode spots.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
16 Cathodic-Arc and Thermal-Evaporation Deposition

Figure 14 Time evolution of the plasma initiation process in four time steps obtained by numerical modeling. Reproduced from Timko, H. Modelling
Vacuum Arcs: From Plasma Initiation to Surface Interactions. Report Series in Physics HU-P-D188, Theses, 2011.

In the case of metals, the arc current is transmitted at cathode spots, which have a limited lifetime. An apparent movement of the
location of the plasma results from a sequence of ignition and distinction of individual burning cathode spots.
The nature of the arc discharge is largely stochastic and random. In the case of titanium, the arc current and the size of the
individual spot vary on a large scale between 1 and 50 mm in diameter (20). A self-similarity of the cathode spot size has been
observed. A. Anders has analyzed the chaotic nature of the arc discharge with random movement of the location of cathode spots
and with a large variation of arc current and voltage. A fractal nature of cathode spots both spatially and temporally was observed.
The movement of the spots is a stochastic Brownian movement. Sometimes, an individual arc is extinguished for a period of about
10 ns and then ignited, followed by an almost uninterrupted sequence of arc spots. In this case, the individual arc spot is formed,
separated by several mm distance from a track of overlapping arc spots.
The movement is rapid in particular for Type 1 spots. For Type 2 spots, the movement is slower and the new cathode spot is often
ignited in the vicinity of the old spot.
In the presence of a magnetic field, the motion of spots opposite to the direction of the Ampere force has been observed. As
the Ampere force bends the plasma column to the direction of j 3 B, the spot moves counterintuitively in the opposite direction.
The mechanism of the retrograde direction is not well understood, but it seems to be related to the microplasma jet bursts parallel to
the cathode surface with a preferential direction relative to the auxiliary magnetic field. For a magnetic field of 15 mT, the speed of
movement is 10–15 m s1 (21). Magnetic fields may be used to steer the arc spot movement, as described in the following sections.
Empirically, it has been observed that the arc-burning voltage is proportional to the cohesive energy of the cathode material.
This is known as the ‘cohesive energy rule’. The typical current of ions generated by the arc-burning current is in the range of 10%,
known as the ‘10% rule’. The actual value varies between a few percent to 20%. The input energy to the cathode spot from the
plasma scales with the arc voltage. The higher the voltage, the higher is the available kinetic energy of ions at the cathode surface.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
Cathodic-Arc and Thermal-Evaporation Deposition 17

However, only some portion of the plasma energy goes for the vaporation of the cathode material. A. Anders has observed that
with high-cohesive-energy materials, the discharge current fluctuates at higher frequency than with low-cohesive-energy mate-
rials. This reflects the difficulty of igniting the emission sites of high-cohesive-energy materials. A similar rule is known for the
sputter yield. There could be a connection between these rules since the energy of the incoming ions has a role in the ignition of
the cathode spot.
The most challenging feature of the vacuum arc deposition process is the evolution of microparticles. In terms of material
erosion, the major portion of material removal from the cathode is in the form of particles. Accordingly, the particles comprise
between 10 and 90% of the mass of the growing film.
The particle evolution is most commonly understood as a result of a molten metal pool ejecting molten droplets. In some cases,
the particle hits the growing film while not solidified, producing a flattened droplet on the substrate. On the other hand, metals with
high melting temperature tend to solidify before hitting the substrate. In that case, the particles might bounce off the surface or stick
to the growing surface with a lower probability. That could explain the observation that the fraction of particles of the total mass of
the deposited film was about 3.5% in the case of tungsten and 90% in the case of lead.
There is a broad distribution of the size of particles. When investigating a 50 nm thick copper film, the diameter of the particles
varies between <0.1 mm and >10 mm. If plotted to a log–log presentation, there is a linear correlation with the size and amount of
particles, as shown in Figure 15 (22). This linear distribution is also interpreted as proof of the fractal nature of the cathode spot.
In the case of high-melting-point materials such as carbon, the formation of a molten pool is less probable. The accumulated
thermal stresses caused by the high localized current densities could be the main reason for particle evolution. Also, the micro-
structure and porosity of the graphite cathode have a large effect on the number of microparticles.

4.02.3.4 Arc Plasma


The arc plasma originates from individual cathode spots, with one spot active at a time. Thus, the plasma expands from a point
source.
With no external magnetic field, a plasma pressure gradient and ion–electron coupling dominate. In the case of a large cylindrical
anode symmetric to the cathode, the plasma expands symmetrically with a distribution as a function of angle q from the normal to
the cathode surface. The intensity of plasma is cosaq. The exponent a is related to the pinching of the plasma. If a < 1, there is
spherical distribution, and when a > 1, the plasma is pinched and forms a focused jet.

Figure 15 Macroparticle size distribution for copper and platinum. After Anders, S.; et al. On the Macroparticle Flux from Vacuum Arc Cathode Spots.
IEEE Trans. Plasma Sci. 1993, 21 (5), 440–446.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
18 Cathodic-Arc and Thermal-Evaporation Deposition

The acceleration of ions takes place near the cathode spot. After this, the plasma expands with a constant velocity. Thus, the
density of plasma reduces as 1/r2 as a function of distance r from the cathode.
The acceleration process that takes place in the immediate vicinity of the cathode spot is assumed to be a result of the following
phenomena: (1) pressure gradient of ions, (2) pressure gradient of electrons, (3) collective acceleration of ions by electron–ion
coupling, and (4) acceleration by an electric field (potential hump). According to Hantzsche (23), the high flux of electrons collides
or interacts via coulomb forces with the metal atoms flowing from the cathode spot. Since the electrons move with much higher
velocity and in much higher quantity than the atoms, kinetic energy is transferred to the atoms. Electrons are stripped off from the
atoms, causing ionization. The very dense plasma has such an electron and ion pressure gradient that the ions are accelerated to their
final kinetic energy in a distance less than 10 mm from the cathode. Within this distance, a dense nonequilibrium plasma with
multiple ionized ions and electrons is formed. Beyond this distance, called the ‘freezing zone’ the charge state distribution is
stabilized. The ionization rate of ions varies and is characteristic for each element. The typical ion energy values vary from a few tens
of eV to over a 100 eV.
An external magnetic field is used to steer the spot motion on the cathode and to guide the plasma. Thus, magnetic fields have
a big effect on the plasma. In the vicinity of the cathode spot, the plasma density is high, even exceeding that of atmospheric
pressure. As the plasma expands, the density reduces to a value where electrons become magnetized due to the external magnetic
field. This means that the electron motion is governed by the gyration motion in the magnetic field. This distance from cathode is
typically a few mm. The electron motion is not affected when the motion is parallel to the magnetic field lines, whereas the motion
perpendicular to the field lines is possible only as a result of collisions or collective effects such as turbulences. Thus, the plasma
flows along the magnetic field lines.
The charge state distribution stays constant as the plasma expands, provided that the chamber pressure is sufficiently low and the
mean free path of the ions is comparable to the vacuum chamber dimensions.
An external magnetic field has been shown to have an effect on the charge state distribution. When the magnetic field is increased
to a value of about 1 T, ionization is increased as shown in Figure 16. The magnetization of the electrons is assumed to be the reason
for the increased ionization. The discharge current creates an internal magnetic field. Increased ionization is observed when the arc
current is increased above 300 A.
The ion energy originates from processes in the close proximity of the cathode spot, and remains constant in the case of vacuum
with no external magnetic field. However, the magnetic field can affect the ion energy significantly.
Ion energy distributions and electron temperature have been measured extensively for several cathode materials (24). By using
a time-of-flight measurement to determine a pulsed plasma velocity, it was found that there is one sharp peak at the velocity
spectrum of ions with a value between 1000 and 3000 m s1. Taking into account that there is a broad distribution of different ion
charge states, the acceleration has to be due to the hydrodynamic effect near the cathode spot independent of the charge state of the
ion. If the acceleration would be caused by an electric field, one would expect to see separate maxima for each charge state.

Figure 16 Increase of average ionization as a function of magnetic field for various metals. After Anders, A. Cathodic Arcs: From Fractal Spots to
Energetic Condensations. In Atomic, Optical, and Plasma Physics; Springer: New York, 2010; Vol. 50.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
Cathodic-Arc and Thermal-Evaporation Deposition 19

160
ECE (×10)
140 Ekin
Te (×10)
120

100

energy (eV)
80

60

40

20

0
0 20 40 60 80 100
atomic number, Z

Figure 17 The average ion kinetic energy (Ekin) obtained both by modeling and experimentally for different atom numbers. Comparison with electron
temperature (Te) and cohesive energy (EEC). After Anders, A. Cathodic Arcs: From Fractal Spots to Energetic Condensations. In Atomic, Optical, and
Plasma Physics; Springer: New York, 2010; Vol. 50.

In Figure 17, the mean ion velocity for various elements is shown. There is a connection with the cohesive energy of the cathode
material to the arc spot phenomena as described in Section 4.02.3.3. Accordingly, there is also a correlation of ion energy and
cohesive energy of elements (see Figure 17).
In the presence of a magnetic field, the movement of electrons is impeded perpendicular to the magnetic field lines. Due to this,
the plasma impedance increases, resulting in higher arc voltage. This results in an increase of electron temperature, higher pressure
gradient at the cathode spot, higher ion energy, and an increase of the average charge state.
A. Anders recently reviewed vacuum cathodic-arc plasma comprehensively (25).
The gradient of the magnetic field is used to confine plasmas finding practical application, such as in thermo-nuclear fusion
vessels. The electron is either accelerated or decelerated when moving in the magnetic field gradient. When the magnetic field
increases, the electron velocity decreases. Since the ions and electrons are coupled, ion energy decreases or increases accordingly. The
magnetic field applied in particle filters could have a significant effect on ion energy, thus having an effect on the deposited film
structure.
The ambient gas pressure has an effect on ion energy as a result of collisions with neutral atoms. This is particularly the case in
reactive cathodic-arc deposition with several Pa ambient pressure. Then, the mean free path of ions becomes less than the
dimension of the chamber.

4.02.3.5 DC Vacuum Arc


There are two main technical approaches for vacuum arc deposition devices: continuous current DC and pulsed deposition. The DC
method, due to its simplicity and upscalability, is the most common industrial method. Normally, the arc current is in the range of
40–150 A. Increase of the deposition rate and upscaling are performed in a straightforward manner by increasing the DC current to
higher values. The limiting issue is cooling. On the other hand, pulsed deposition provides some advantages such as higher ion
charge stage and lower particle emission. The pulsed arc is quite suitable for the deposition of carbon coatings and the construction
of simple filtered arc sources. In the following, DC vacuum arc sources are separately described in more detail.
The DC vacuum arc system is composed of a cooled cathode and an annular anode. A separate triggering device is also included.
The basic setup is illustrated in Figure 18 (26). Typically, the system is cylindrically symmetric.
A mechanical trigger is commonly used in DC vacuum arc systems. A spring-loaded electrode rod is pushed to contact with the
cathode, causing a trigger spark that initiates an arc. Often, the DC system is optimized to be sufficiently stable with less frequent
need for triggering. Thus, a mechanical trigger could have sufficiently long service life. Welding of the trigger electrode to the cathode
and contaminants from the trigger electrode are two possible problems related to the mechanical trigger. Often, refractory metal
trigger rods are used.
The arc is ignited either by a high-voltage pulse using surface conductivity between the cathode and an ignition electrode or by
using a trigger electrode brought mechanically to a contact with the cathode. A number of different geometries have been developed
to construct the cathode with cooling and to insulate the cathode from the anode and the trigger electrode. Often, the constructions
have been described in patents starting from year 1971 by Snaper.
A magnetic field coil has often been included in the construction that provides several effects, including cathode spot steering
and enhancing the plasma ionization, and ion energy by a magnetic field gradient. Without an external magnetic field, the process is
called ‘random arc’ where the location of the arc is dependent on geometry and local spatial and temporal conditions of the cathode

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
20 Cathodic-Arc and Thermal-Evaporation Deposition

Figure 18 Basic setup of a DC cathodic-arc plasma source.

and the entire system. The system is easy to construct, but the cathode can wear quite unevenly. Also, the spot could move slowly,
resulting in local heating of the cathode to such an extent that it evaporates neutral cathode atoms at the expense of ionization. Such
a process is behind the concept of vacuum arc evaporation.
By controlling the movement of the cathode spot, one can wear the cathode more evenly, and by having the cathode spots move
faster, local heating of the cathode is restricted. The movement may be controlled by the use of an external magnetic field, and the
process is called ‘steered arc’.
The movement of the cathode spot is only virtual; instead, it is the location of the ignition of the consecutive individual arc spots
that is moving. Steering of the arc can be done by using magnetic or electric fields. Steering by a magnetic field is governed by two
different rules: the (1) retrograde motion rule, and (2) acute-angle rule.
The retrograde movement means that the cathode spot moves in the opposite direction of the Ampere force –B 3 j, which was
introduced in Section 4.02.3.3. The acute-angle rule means that the spot moves to the direction of the inclination of the magnetic
field line relative to the normal of the cathode surface. The applications of these rules are utilized in guiding the arc spot to the
wanted location of the cathode and also in increasing the speed of spot motion.
A common means of steering the arc is to have a magnetic coil around or behind the cathode. When using a cylindrical or
blunted conical-shaped cathode, the magnetic field lines drive the cathode spot to the end of the cathode according to the acute-
angle rule (see Figure 19). This helps drive the spot from the location of the ignition electrode to a location more advantageous to
the deposition process. Often, such cathode geometry is applied in filtered arcs. Another arrangement is to provide an arched field
line where the field lines are parallel to the cathode surface as in magnetron-sputtering targets. The acute-angle rule keeps the
cathode spots in a race track trajectory commonly seen in magnetron sputtering.
Permanent magnets can be used to steer the arc as well. There are examples where a permanent magnet is placed behind the
cathode and rotated to ensure a more uniform wear of the cathode, as shown in Figure 20 (27).
The geometry of a cylindrical rotating cathode has been developed particularly to the upscale deposition process. A permanent
magnet can be mechanically moved inside the cooling channel of a hollow cathode rod.
In the case of long cylindrical cathode rods, an interesting configuration for arc steering is accomplished by the direction of the
DC current. The spot tends to ignite at the location of the lowest potential. Thus, the arc moves to the direction of the more negative
side of the electrode. If the spot motion is detected by a sensor, the polarity of the steering voltage can be changed and the
movement of the spot is reversed (see Figure 21) (28).
Some cathode materials require special conditions and design. Semiconducting materials such as boron may be used only if the
conductivity is sufficiently high. This can be accomplished by heating the cathode.

4.02.3.6 Pulsed Vacuum Arc


Pulsed vacuum arc offers some unique benefits compared to DC. It is possible to miniaturize sources; they can have lower average
power and thus an easier thermal management. Also, the thermal load to the substrate may be kept low, allowing deposition on
polymeric substrates, for example.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
Cathodic-Arc and Thermal-Evaporation Deposition 21

Figure 19 (a) Magnetic field lines through a conical cathode and (b) arched magnetic field lines. After Anders, A. Cathodic Arcs: From Fractal Spots to
Energetic Condensations. In Atomic, Optical, and Plasma Physics; Springer: New York, 2010; Vol. 50.

A schematic illustration of a pulsed cathodic-arc device is shown in Figure 22. The cathode is connected to a capacitor or a pulse-
forming network. The plasma is ignited by a spark on the surface of an insulating ceramic material.
Miniaturized pulsed sources are possible when the pulse length is short enough for the spot to stay on the cathode surface. The
DC random arc or steered arc generally requires a cathode with a minimum diameter of 5 cm. Miniature-pulsed cathode sources
with dimensions as low as 3 mm in diameter have been developed (17). Naturally miniaturized sources have a limited reservoir for
deposited material, and the sources could be applied for very-thin-film deposition such as catalytic layers. However, a feed of a thin,
long cathode rod is possible. Miniature arc sources have also been used as ion sources capable of producing highly ionized metal
beams and as microthrusters for space applications.
The duty cycle can be arbitrarily low, which may be achieved by means of shorter pulses and longer intermediate time between
the pulses. The duty cycle can be used to control the heat load to the growing film and substrate.
Pulsed sources can have very high deposition rates, even higher than when using DC. Since the arc voltage in DC is constant
(about 40 V) in all vacuum arc processes, the deposition rate is proportional to the average arc power. In pulsed mode, a very high
arc current may be obtained (in the kA range). Then, several cathode spots burn simultaneously. Two arc spots repel each other by
the retrograde spot movement rule due to the magnetic fields created by the arc plasma filaments. In order to control the wear of the
cathode, the arc could be ignited at the center of the cylindrical cathode. The arc spots drift on average radially to the edge of the
cathode, as seen in Figure 23.
A high deposition rate source can be coupled with a solenoid plasma filter to obtain a high deposition rate of highly ionized
plasma with a reduced amount of particles.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
22 Cathodic-Arc and Thermal-Evaporation Deposition

Figure 20 Arc spot steering by using a rotating permanent magnet placed behind the cathode. Reproduced from Ramalingam et al. Control of Vacuum
Arc Material Operation, Method and Apparatus. US Patent, 4,673,477, 1987. For details of the figure please see the source line reference.

Miniaturized plasma sources allow a design of multiple cathodes feeding a single plasma filter. A system with two arc sources
coupled with a 90 filter is shown in Figure 24 (29).
Some problems such as contamination of the film arise with the pulsed deposition. For example, the hydrogen level can increase
up to 0.09 at.% in Al2O3 coating when using pulsed cathodic arc. The reason is that during the low-duty cycle, ambient gas
molecules stick and are chemisorbed on the growing film. In the case of DC, the adsorbed molecules are more likely to be knocked
off from the surface before chemisorption due to the continuous flux of the incoming ions bombarding the growing film (30).
The vacuum arc may be triggered by several different ways: by a mechanical contacting electrode, by a trigger electrode causing
a surface discharge on a contaminated insulator, or by applying a laser pulse.
The ignition arc can easily be obtained when a short (some ms) high-voltage pulse is fed to an electrode that is separated from the
cathode or from another trigger electrode by an insulator surface. The insulator surface obtains a conducting ‘contamination’ film
and produces a spark plasma due to the high-power density in the thin film. The conducting coating is automatically replenished
from the cathode material. The trigger electrode could be located at the center of the cathode or at the outer rim of the cathode, or be
placed cylindrically around the cathode in the area between the cathode and the anode. The different trigger concepts are shown in
Figure 25. A simple variation of the surface spark triggering is to separate the cathode and anode with an insulating ceramic. The arc
power source is first feeding a high-voltage pulse for triggering, followed by the actual discharge pulse.
Laser beams have been used for triggering the arc plasma developed by FhG-IWS in Dresden (see refs within (31)). A pulsed laser
is focused through a vacuum chamber window to the location of the cathode surface where the arc is to be ignited. The laser
triggering offers a unique benefit in the use of multimaterial cathodes. The laser spot is directed optically to the selected segment of

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
Cathodic-Arc and Thermal-Evaporation Deposition 23

Figure 21 Arc deposition with cathode rods (14) equipped with a switchable potential (60) difference between the cathode rod ends steering the
movement of the arc, and with arc spot sensors (28,29). Vergasol, G.E. Electric Arc Vapor Deposition Device. US patent 5,037,522 ,1991. For details of the
figure please see the source line reference.

the multimaterial cathode. The use of a cylindrical rotating cathode with sectors of different materials (e.g., graphite and titanium)
allows the deposition of multilayers (shown schematically in Figure 50).

4.02.3.7 Macroparticles
4.02.3.7.1 Particle Effects
The arc discharge–deposited films are affected by macroparticles. The hitting of a particle with the growing film has several effects.
The particle may stick to the surface. Depending on the size of the particle, it either is embedded into the film or causes shadowing
and nodular structures to evolve. Metals of low melting temperature could decorate the growing film with circular, rapidly solid-
ifying splats. Various types of macroparticles in the cathodic-arc deposited coatings are shown in Figure 26. A clear reduction of
macroparticles is observed by using magnetic filtering. Since the filtering efficiency is not 100%, a fraction of macroparticles hit the
growing surface causing defects in the growth process of the film due to, for example, shadowing effects. Defects, pinholes, and
cavities in the films are formed. The hitting and embedding of macroparticles could cause defects on the growing film, resulting in
nodular defects even in the case of amorphous films such as carbon.
In applications such as tool coatings, the cathodic-arc coatings have excellent tribological properties. Commonly, they provide
better abrasion resistance compared to other PVD coatings. The overall quality of the film around the macroparticles often
compensates the problems caused by the defects induced by the macroparticles. In applications where an absence of defects and
pinholes is critical (e.g., in electronics and barrier coatings), effective filtering of macroparticles is necessary.
The effect of macroparticles on tribology is discussed in more detail in Section 4.02.3.10.1.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
24 Cathodic-Arc and Thermal-Evaporation Deposition

Figure 22 A simple pulsed cathodic-arc device.

Figure 23 Arc spot traces of a pulsed arc on a Cu cathode. Photo courtesy and with permission of Dr Peter Siemroth.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
Cathodic-Arc and Thermal-Evaporation Deposition 25

Figure 24 Dual-cathode filtered source equipped with a pulsed bias source and computer control for designing composite thin films. After Anders, A.;
Pasaja, N.; Sansongsiri, S. Filtered Cathodic Arc Deposition with Ion-Species-Selective Bias. Rev. Sci. Instrum. 2007, 78 (6), 063901.

Figure 25 Different trigger constructions: (a) trigger at the center of the cathode; (b) at the outer rim of the cathode; or (c) placed cylindrically around the
cathode in the area between the cathode and anode.

4.02.3.7.2 Control of Particle Evolution


In pulsed arc, the discharge current is large compared to in DC arc. The arc evolves from rapidly created new cathode
spots. This reduces the thermal stresses at the cathode spot. This has been shown to reduce both the size and number of
particles (17).
In reactive arc discharge deposition, poisoning of the metallic cathode by a nonmetallic layer may occur. This layer
promotes the evolution of cathode spots, thus also reducing the lifetime of individual spots. Thus, a reduction of particle
evolution results.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
26 Cathodic-Arc and Thermal-Evaporation Deposition

Figure 26 Macroparticles in cathodic-arc TiN deposited for 2 h by using a (a) filtered arc; and (b) direct arc. Reproduced from Gorokhovsky, V. I.;
Bhattacharya, R.; Bhat, D. G. Characterization of Large Area Filtered Arc Deposition Technology: Part I – Plasma Processing Parameters. Surf. Coat.
Technol. 2001, 140 (2), 82–92.(c) Nodular defects in a ta-C coating without filtering. Reproduced from Drescher, D., et al. A Model for Particle Growth in
Arc Deposited Amorphous Carbon Films. Diam. Relat. Mater. 1998, 7 (9), 1375–1380.

Both evaporation and net weight gain of macroparticles in plasma can occur. In the case of material with high vapor pressure,
evaporation has been reported to increase the portion of neutral atoms in the plasma (34). Attempts to reduce the number of
macroparticles by maximizing their evaporation has not been successful; on the contrary, in some cases a weight gain of the
macroparticles due to collision with ions and neutrals is possible (17).
H. Takikawa recently reviewed the methods of reduction of macroparticles in cathodic-arc deposition (35). Four methods are
mentioned: (1) Steered arc, in which the rapid movement of arc spot location lowers the local temperature, causing less thermal
stress and particle evolution. (2) A limited reduction of particle evolution could be obtained by a ‘current-controlled arc’. If pulsed
DC is used, the arc current is suppressed before the cathode spot becomes hot. The limited effect is understandable since the cathode
spots are composed of a series of spots of varying size and lifetime in a fractal manner. (3) ‘Distributed arc’ or thermionic arc occurs

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
Cathodic-Arc and Thermal-Evaporation Deposition 27

when the cathode surface is at a sufficiently high temperature in order to provide high electron thermal emission, which is
comparable to the discharge current. The explosive cathode spot processes are not present, and high deposition speed with little or
no macroparticles is possible. However, the ionization rate and the ion energy are also low, and thus lack the essential plasma
characteristics typical for cathodic-arc processes. (4) ‘Shunting arc’ is a process where a pulsed current heats a rod, resulting in the
evaporation of the surface. Then, by further increasing the discharge current, an arc discharge occurs in the vapor. In principle, no
macroparticles evolve, but again the ionization and the ion energy that would evolve from the cathode spot process are not present.
In summary, the reduction of macroparticle evolution is possible with several methods. However, a number of macroparticles
evolve due to the cathode spot process, and the only option to clean the arc plasma from particles is by filtering.

4.02.3.7.3 Particle Filtering


The evolution of particles is inherently connected to the cathodic-arc process. There are various means to reduce and control the
evolution of particles, as described in the previous section. The most efficient means to reduce the particles is filtering of the plasma.
The main idea is to guide the plasma by an electro-magnetic field so that the massive and mostly neutral particles are not affected.
The first magnetic filters for arc deposition were introduced by Aksenov et al. (36). In the following, the basic physical principles of
the filters are introduced, followed by a description of the filter design and filter applications as described in Ref. (17).

4.02.3.7.3.1 Physical principle of filters


The particle filter most often used is a curved magnetic field produced by electric coils causing the arc plasma to curve. The particles
move in a straight line and are collected separately. As described in Section 4.02.2.4, the physical modeling of arc plasma is
challenging. The bending of plasma by a magnetic field can be described only qualitatively in this context. The Lorentz force of the
magnetic field on the charged particle moving with a certain velocity forces the particle in a curvilinear trajectory. The gyration radius
of electrons is small and should be less than the dimension of the plasma filter (i.e., have a smaller diameter). The ions, being orders
of magnitude more massive than electrons, have a gyration radius typically larger than the filter dimensions. The magnetic field lines
cause electron charge density to have a gradient, and roughly speaking, the magnetic field lines are equipotent lines in the plasma
that are responsible for the guidance of ions. Thus, the magnetic field lines affect the electrons in the plasma, which then pull the
ions to the curvilinear path by electrostatic force.
The collisions between ions, neutrals, and electrons interfere with the magnetization of charged particles in the plasma. The
collision frequency is proportional to the density of species in the plasma. A typical value of the magnetic field (or induction) is
10 mT. The electrons with kinetic energy of 2–4 eV are able to make several gyrations up to an electron density of 1021 m3 before
a collision. Thus, electrons are magnetized generally in most practical plasma and gas densities.
By electric probe measurements, it has been observed that the magnetic field induces electrostatic potentials of the order of
500–1000 V m1 inside the filter. This is in most cases sufficient to bend the energetic ions in the plasma. Experimentally, it has been
observed that a large portion of ions are lost in the filter. This may be a result of several effects, and is not easy to quantify with simple
plasma models. The ions are oscillating radially while transmitted through the filter. The oscillation amplitude is dependent on the
magnetic field strength. The ions with sufficient radial oscillation amplitude will be lost to the walls of the filter. By applying magneto-
hydrodynamic models, the ability of a filter to transmit ions is exponentially proportional to the magnetic field strength, achieving the
highest efficiency at high field strength. Typically, a 90 bent filter has an ability to transmit 10–30% of the ions entering the filter.

4.02.3.7.3.2 Different filter designs


The design of filters is a question of optimizing the filtering efficiency, growth rate of the coating, size, and cost. In the case of low-
melting-temperature metals (e.g., zinc), the droplets are at least partly molten and have a high probability of sticking to the wall
where they hit. On the other hand, most particles of high-melting-temperature materials (such as refractory metals and carbon)
bounce elastically from the walls and after a finite number of collisions could land on the substrate. To reduce the probability of
particles bouncing to the growing film, particles are trapped by fins and other geometrical obstructions. Magnetic filters can reduce
the number of particles by several orders of magnitude, but typically not to zero. Recently, Takikawa et al. (35) has presented the
various magnetic filter types shown schematically in Figure 27 (37).
The straight filter (see Figure 27(a)) mainly focuses on the plasma and reduces the number of particles at the substrate. This
approach is commonly used in industrial applications. Typically, the side wall is equipped with plate fins to trap particles. Another
method is to block the line of sight to the substrate by inserting an additional magnetic coil or simply a plate on the axis of the
straight filter (see Figure 27(b)).
The straight filter can be bent off-axis, eliminating the line of sight (see Figure 27(c–e, g)). By applying bent-focused arc plasma,
slightly different trajectories of different ion masses have been observed when using composite cathodes. This allows composition
modification of the substrate by adjusting the magnetic filter parameters during deposition (38).
The dome-shaped filter applies the cathode–anode geometry to block the line of sight to the substrate (Figure 27(h)).
There are several variations of the bent filters. The plasma duct could be bent into a knee shape, L shape, or quarter torus. The
magnets could be individual coils, segments, or even continuous coils winding along the duct. Generally, the ion transport is higher
and particle filtering less efficient if the filter duct is shorter and less bent. Double-bent filters, as shown in Figure 27(e), represent the
most commonly used filters for highest efficiency. Even 120 J-shaped filters have been used.
A filter with high efficiency is a bent filter with linear geometry. This geometry has been applied by the Tel Aviv group for a large-
area deposition of optical coatings (39) (Figure 28).

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
28 Cathodic-Arc and Thermal-Evaporation Deposition

Figure 27 Different types of filter systems. (a) Rectilinear; (b) rectilinear with a magnetic island; (c) knee; (d) 90 duct; (e) off-plane double bent;
(f) Venetian blind; (g) S-filter; (h) dome; and (i) stroboscopic filter. After Takikawa, H. & Tanoue, H. Review of Cathodic Arc Deposition for Preparing
Droplet-Free Thin Films. IEEE Trans. Plasma Sci. 2007, 35 (4), 992–999 and Anders, A. Approaches to Rid Cathodic Arc Plasmas of Macro- and
Nanoparticles: A Review. Surf. Coat. Technol. 1999, 120, 319–330.

Figure 28 Schematic illustration of a cathodic-arc deposition system with a linear bent filter. Reproduced from Zhitomirsky, V. N., et al. Properties of
SnO2 Films Fabricated Using a Rectangular Filtered Vacuum Arc Plasma Source. Thin Solid Films 2008, 516 (15), 5079–5086.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
Cathodic-Arc and Thermal-Evaporation Deposition 29

Figure 29 Schematic image of a T-shaped magnetic filter with two plasma sources, including several magnetic coils for plasma optics. After Aksyonov,
D.; et al. 2010. Deposition of Ti-Al-N Coatings Using Two-Channel T-Shaped Magnetic Filter. In 24th International Symposium on Discharges and
Electrical Insulation in Vacuum (ISDEIV), pp 494–496.

The 90 bent-filter concept has been extended to have two arc sources facing each other in a T shape and sharing some of the
magnetic coils. Then, there is a source of two plasmas from different cathodes from one direction to the substrate (Figure 29) (40).
A commercial version of this principle is called large-area filtered arc deposition (LAFAD), where one or more T-shaped filtered
sources are mounted to a deposition chamber (32), including also magnetron-sputtering sources.
To aim at a large deposition area, Venetian blind filters have been demonstrated (Figure 27(f)). An array of blades blocks the line
of sight to the substrate. When a high current is driven through the blades, a magnetic field is induced, enhancing the filter efficiency
to transport plasma through the blocked line-of-sight path. Filters of 30  30 cm have been demonstrated. The filter has been
optimized to reduce the number of particles to an acceptable level (e.g., in metal nitride deposition (41)).
For mainly research purposes, open-coil bent filters have been used (42,43). There, the particles are allowed to fly through the
filter coil (see Figure 30). In the case of pulsed arc, the arc current is driven through the bent coil, resulting in a very simple device.
Such filtered sources have been miniaturized (44,45). Small filtered plasma sources offer the flexibility to construct setups of
multiple sources in a single chamber for research. A. Anders has demonstrated a miniaturized filtered source with two individual
cathodes within one filter coil. Composite or nanolaminate coatings are possible by controlled firing of different cathode materials
(see Figure 24).

Figure 30 Open curved filter for carbon plasma. The hot macroparticles exit the filter. Reproduced from Anders, A., et al. Ultrathin Diamond-Like Carbon
Films Deposited by Filtered Carbon Vacuum Arcs. IEEE Trans. Plasma Sci. 2001, 29 (5), 768–775.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
30 Cathodic-Arc and Thermal-Evaporation Deposition

Filters with no magnetic field have also been investigated. One approach is an electrostatic filter, as demonstrated by the Dresden
group (see Figure 50). One can also simply align the flat substrate so that the angle of incidence of the plasma is 90 . The ion
trajectories are bent to the surface due to sheath potential at the substrate. Also, a negative bias potential may be used. The ions are
bent near the substrate surface, thus reducing the number of particles on the substrate. Finally, a stroboscopic method to filter
particles hitting the substrate has been investigated (Figure 27(i)). A rotating disk with a hole is synchronized with the pulse
ignition.
The magnetic filtering effect could also be enhanced by applying an external radial electric field. A. Anders showed that there is an
optimum bias voltage inside the plasma filter in order to enhance the filtering of a 90 filter. The optimum value was 10–25 V. If the
bias voltage is increased, more electrons are attracted from the plasma, thus interfering with the magnetic filtering effect.

4.02.3.8 Typical Coatings by Cathodic-Arc Deposition


4.02.3.8.1 ta-C
Carbon as an elemental material has an astonishing number of extreme properties. Amorphous thin films of DLC are covered in
Chapter 4.15 in this book, but the DLC coatings obtained in particular with cathodic-arc deposition are elucidated in more detail in
this chapter. ta-C coatings may be deposited by using energetic ion beams such as cathodic arc, mass-separated ion beams, pulsed
laser deposition, and lately also HIPIMS (46). Traditionally, DLC also contains hydrogen, mainly when the source material of
carbon is hydrocarbon gas. ta-C coatings can also be alloyed with hydrogen by using reactive vacuum arc deposition.
The elastic modulus and hardness values of ta-C films correlate with the proportion of the sp3 bonds formed. The bond structure
of the coating depends strongly on the ion energy of the carbon ions (47). An optimum ion energy window for high sp3 content
reported by several groups is between 40 and 200 eV. The highest sp3 contents by using cathodic-arc deposition are over 80%. Such
ion kinetic energy is possible, particularly in pulsed-arc deposition with sufficiently high arc current. A value of 40 eV has been
measured when using a 3 kA arc current (48). A straightforward way to increase the kinetic energy is by using substrate biasing. The
energetic ion hits the surface and is slowed and stopped at a certain depth depending on the ion energy. A sequence of effects with
different time frames results. The duration of the collision effects is about 0.1 ps, the thermal phase about 1 ps, and the diffusion
type relaxation about 100 ps. The process of carbon film growth has been modeled by using a subplantation model.
In the subplantation model, the energetic ion penetrates the substrate and causes a local stress field and local energy dissipation,
resulting in the formation of the dense, fourfold, coordinated metastable sp3 structure. As the ion has been stopped, the absorbed
kinetic energy is distributed to a small volume called a thermal spike. For a 40 eV ion, the diameter of the thermal spike is about 5 Å,
thus affecting only the nearest neighbors of the carbon atom. If the ion energy is lower, the incoming ion range is too small to cause
such a local stress field. Then, the resulting bond structure is mainly sp2. In cases in which the ion energy is too large, the dissipated
energy is sufficient to allow the relaxation of the local compressive stress, leading again to an sp2-bonded structure. The relaxation
process can be significant. A detailed comparison of the subplantation model of densification has been reported by M. Bilek et al. (49).
The high sp3 content is obtained only at low temperature (typically less than 100  C). Higher deposition temperatures cause
relaxation of carbon to the sp2 bonding. The sp3 content is observed to be quite independent of the deposition temperature until
a transient temperature. After the transient temperature, the film is growing with an sp2 structure, as shown in Figure 31, where
a pulsed vacuum arc was used (48).
It may be assumed that the energetic ion growth causes an sp3-bonded structure. This transition effect could be fitted to a simple
model that assumes relaxation by zero-order reaction kinetics. Then the sp2 bond content increases due to relaxation of the sp3
bonds as exp(Ea/kT), where Ea is the activation energy, k the Boltzmann constant, and T the temperature.

Figure 31 The effect of deposition temperature on sp3 content by using pulsed vacuum arc.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
Cathodic-Arc and Thermal-Evaporation Deposition 31

Table 2 The effect of deposition growth rate on the transition temperature above
which the cathodic-arc films are sp2 bonded

Deposition rate (nm s1) Transition temperature ( C)

2380 400
620 350
370 300
1.5 180

The transition temperature has been reported to vary depending on the deposition conditions. The effect of the deposition rate
has been observed to affect the transition temperature. Interestingly, the transition temperature increases as the deposition rate
increases. In Table 2, the effect of deposition rate on the sp3 content is shown (48).
The density of the film is closely related to the sp3/sp2 bonding structure of the film. There is a direct correlation of the density
with the sp3 content. The highest sp3-structured films have a density up to 3.3 g cm3, which is close to that of crystalline diamond
(3.45 g cm3) (50).
The ta-C films are very smooth when deposited by filtered arc. Values of atomically smooth 0.1 nm Ra values are reported. The
energetic ion deposition allows surface mobility of atoms, thus enabling the growth of an even smoother surface than the original
substrate roughness (51). The relaxation to form sp2 structures is due to the diffusion of atoms to the surface. This causes increased
roughness of the surface. The evolution of surface roughness is pronounced when the sp3 content of the film is lowest.
The uniqueness of ta-C is its high value of hardness and elastic modulus. The values of various types of ta-C and doped ta-C
collected from literature are shown in Figures 32 and 33 (50). The high elastic modulus is a manifestation of the fourfold bonding
of sp3. The E values for ta-C vary typically between 300 and 800 GPa. The highest elastic modulus is that of crystalline diamond
1000 GPa. The amorphous ta-C coating has been shown to have a very high elastic modulus, even as an ultrathin film of less than
10 nm. Analysis of the bond structure of ta-C coatings by using electron energy loss spectroscopy (EELS) in transmission electron
microscopy has shown that 1–2 nm of the top surface is mainly sp2 bonded. Beyond this, a constant level of sp3 content is reached
throughout the bulk of the film.
The very high value of the elastic modulus is applied in acoustic wave filters and for microelectromechanical systems.
The high hardness value makes ta-C films unique. H values of above 50 GPa are generally called ultrahard. Hardness and elastic
modulus values are usually measured by using nanoindentation. There is large scatter, particularly in the maximum reported values
for hardness. Several reasons cause this uncertainty. These include the large elasticity of the ta-C coatings observed in the

Figure 32 The correlation of hardness and density of various DLC coatings deposited by different methods.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
32 Cathodic-Arc and Thermal-Evaporation Deposition

Figure 33 The correlation of elastic modulus and density of various DLC coatings deposited by different methods.

indentation; the hardness of the ta-C is close to the hardness of the indenter diamond tip, and the hardest ta-C films are relatively
thin due to the high intrinsic stress.
The hardness and elastic modus are observed to correlate roughly as H/E ¼ 0.1.
High intrinsic compressive stress is inherently related to the high content of sp3 bonds. Values above 10 GPa are reported. The
high compressive stress is detrimental for the adhesion of the coating. Thus, control of stress has been investigated. Thermal
annealing after the deposition of the coating has been shown to reduce the intrinsic stress to almost zero after 600  C. At this
temperature, roughly 2% of the sp3 bonds convert to sp2 (52). In the process, these sp2 structures align so that the p bond is
perpendicular to the surface and the film volume is decreased, lowering the compressive intrinsic stress. Temperatures up to 1000  C
are needed to convert ta-C to sp2 a-C in vacuum or in an inert atmosphere. The stress has also been lowered by high-energy ion
implantation. Doping of the film, particularly with boron, has also been observed to lower the compressive stress by almost 90%
while maintaining the very high E and H values (53).
ta-C coatings have excellent mechanical properties, but the critical boundary condition in all applications is the adhesion. The
interface of the substrate and ta-C film is the critical place for a detrimental crack to grow. The driving forces are high due to internal
compressive stress of the coating, sharp change of the elastic modulus, and often lack of chemical bonding. Adhesion of ta-C is
managed by applying the tricks common to all thin films: cleaning the interface, applying bond layers, inducing interface mixing,
and controlling the internal compressive stresses. Adhesion promotion is an art for all coaters but is reported rarely, and generally
not described in detail or even mentioned at all in the literature.
Prior to coating, the substrate surface is often sputter etched by using a separate ion source or glow discharge with argon ions.
The adhesion-promoting interface layers are often refractory metals that have high affinity to carbon. They form metallic alloys
with the substrate material (particularly in the case of metals) and have sufficient mechanical properties (e.g., shear stress).
Commonly applied thin films are Cr and Ti with a thickness of some tens of nm. The adhesion of the interlayer is critical.
Particularly with cathodic arc, the use of metal plasma for cleaning has been very efficient. The self-sputtering rate of metal ions is
over 1 when the ion energy is typically higher than a few hundred eV. In the case of aluminum, the critical ion energy is about
500 eV, and titanium 1200 eV. The self-sputter coefficient of some metals is shown in Figure 34.
The use of particularly high ion energy to enhance adhesion of ta-C is a common solution (54,55). The high ion energy sputters
off adsorbed molecules from the surface and allows ions to penetrate through the often contaminated and defected surface layers.
High ion energy causes ion-beam mixing and in most cases results in a diffuse interface at the boundary of the substrate and
coating. The high ion energy is often produced by substrate biasing in a pulsed form. This reduces the heat load to the substrate
and prevents arcing of the substrate, which otherwise could become an arc cathode. Negative bias voltages from some hundred eV
to one kV or more have been used. The pulse duty cycles can be varied. When the pulsed bias is in kV or tens of kV values, the
process is often called ‘plasma-based ion implantation and deposition’ (PBII&D) (56). PBII was originally developed to implant

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
Cathodic-Arc and Thermal-Evaporation Deposition 33

Figure 34 Calculated self-sputter rate of various metals. After Anders, A. Cathodic Arcs: From Fractal Spots to Energetic Condensations. In Atomic,
Optical, and Plasma Physics; Springer: New York, 2010; Vol. 50.

metals (or semiconductors) with gaseous ions, particularly with nitrogen by surrounding the substrate in a nitrogen glow
discharge plasma. Then, the substrate was pulsed negatively, forming a wide plasma sheath accelerating the ions to the substrate.
PBII has been combined with vacuum arc, which is a natural source of highly ionized metal plasma, to reduce the costs in metal
ion implantation.
The ability to deposit highly stressed ta-C films with thickness of over 1 mm has been demonstrated. High adhesion has been also
demonstrated by depositing such films on (100) silicon wafers. Instead of delamination of the stressed film by interfacial cracking,
the bulk of the silicon wafer is cracked, as shown in Figure 35. One means to relax the high stress caused by the ta-C film is to apply
a soft substrate or a soft interlayer.
Graphitic particles cause defects in the growing coating. In films with thickness less than 1 mm, pinholes are found. They are
observed by optical microscopy. The large variation of particle size causes a variety of defects in the coating. Small particles are
embedded in the coating. It has been observed that the number of pinholes reduces as the thickness of the coating increases.
Pinholes can be detected by using acid, which dissolves the substrate material. The growing film seems to repair the defects, causing
embedding of the particles. In the cross section of the coating, nodular growth is observed for coatings of over 1 mm thickness (57).
Shadowing and low mobility of carbon atoms result in conical growth nodules, which are easily detached from the coating. The
growth direction of nodules is observed to follow the angle of incidence of the ions (33). The behavior is similar to the tilted
columns observed in magnetron sputtering.
The number of particles hitting the growing film is controlled by using filtering methods, as discussed in detail in Section
4.02.3.7.3.
The particles are most harmful in applications such as integrated circuits, where one defect is likely to destroy the operation of the
entire circuit. A high deposition rate by using filtered cathodic arc to coat with ta-C films is difficult to achieve. However, there are
numerous applications that are not sensitive to defects on the coating, such as protective coatings in tools and mechanical
components. On some occasions, the roughness caused by particles is even beneficial to the application, as in the case of lubricated
tribological contacts. There, the voids on the surface act as lubrication pockets that transport lubrication molecules to the critical
contact zone, to be discussed in more detail in Section 4.02.3.10.1.
The quality of the cathode material has an effect on the particle emission. Graphite is produced by high-temperature processing.
The material is polycrystalline, and a high density is difficult to obtain. Grades of graphite vary in density between 1.6 and
1.9 g cm3. The yield of macroparticles is affected by the density of the graphite cathode. With dense graphite cathodes, fewer
particles are produced.
The cathode erosion forms complicated shapes and structures on the surface of graphite. The cathode spot seems to burn in
valleys of protrusions with less erosion. Eventually, the yield of carbon plasma reduces, as there is a limited line of sight from the
cathode spot to the substrate. These obstructions have been observed to also reduce the number of particles acting as miniature-
sized mechanical particle filters.
Doping of ta-C has been investigated extensively. Different doping and alloying elements such as hydrogen, nitrogen, boron,
metals, fluorine, and polymers have been reported.
Hydrogen is a natural doping element of carbon films produced by PVD or plasma-enhanced chemical vapor deposition
(PECVD) from hydrocarbon gas. Hydrogen bonds with carbon and terminates the dangling bond. It prevents the sp2 double carbon

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
34 Cathodic-Arc and Thermal-Evaporation Deposition

Figure 35 High adhesion and high stress of ta-C film causing the decohesion of a silicon wafer surface. Reproduced from Alakoski, E., et al. Adhesion
and Quality Test for Tetrahedral Amorphous Carbon Coating Process. Diam. Relat. Mater. 2003, 12 (12), 2115–2118.

bond formation and lowers the conductivity of the amorphous carbon structure. The low content of hydrogen in sp3-bonded
carbon yields very similar structure and properties compared to hydrogen-free material. Thus, the material is called hydrogenated
tetrahedral amorphous carbon (ta-C:H). Hydrogen may be added to ta-C simply by feeding hydrogen or hydrocarbon gas into the
ambient during the deposition. Hydrogen contents between 0 and 30% have been observed.

4.02.3.8.2 Nitrides
Nitrides are an important PVD thin-film type. The process needs a metal target and typically nitrogen and argon ambient. The
cathodic arc provides a high ionization rate, which is ideal for nitride thin-film processes. Typical nitride films produced by
industrial cathodic-arc processes are listed in Section 4.02.3.10. In this section, some important nitride cathodic-arc processes are
discussed in more detail.

4.02.3.8.2.1 TiN
Titanium nitride coatings have been the success story of PVD coating development. TiN has excellent wear properties for tool
coatings and a gold color that is perfect for decorative coatings. Cathodic arc provides a highly ionized plasma allowing the
deposition of dense, fine-grained TiN coatings. Titanium is often used as an adhesion-promoting layer for other coatings in PVD
processes. Thus, the TiN-protective coating process may be relatively easily optimized to provide sufficient adhesion to most of the
substrate materials. The main limitation in the use of cathodic arc to deposit TiN is the particle emission. However, by applying
steered arc, tribological TiN coatings are produced successfully.
The high level of ionization has allowed one to optimize the TiN hardness. The development of titanium-based nitrides has led
to ternary nitride nanocomposites and multilayer coatings, which are described in more detail further in this chapter.
Owing to the huge ionization of the metal plasma, the TiN coatings have a very high internal compressive stress. Cheng et al.
(58) used a large-area filtered arc deposition (LAFAD) device to produce multilayers of TiN/Ti. By applying 40 eV bias voltage, the
TiN coating had a 2.2 GPa compressive stress. When a 3 mm coating with alternating layers of TiN and Ti 240 and 20 nm of thickness

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
Cathodic-Arc and Thermal-Evaporation Deposition 35

was deposited, the internal stress decreased to 1.6 GPa. This was explained to be a result of strain release of compressed TiN layers by
the deformation of the softer Ti layer. The expense of soft Ti layers was the reduction of microhardness from 32 to 20 GPa.

4.02.3.8.2.2 CrN
CrN is a widely used PVD coating, particularly in tooling (e.g., in polymer molds). CrN is less brittle than titanium-based nitrides.
Ion implantation of metals to modify the surfaces of metals and coatings has been well known since the 1980s. Weng et al. have
used a hybrid device that had a filtered arc and an MEVVA ion source (59). About 3 mm thick CrN films were implanted by using
a 45 kV 2 mA ion beam. The ions were Cþ and V2þ with an average ionization of 1þ and 2þ, respectively. The range of the implanted
ions was about 150 nm for vanadium and about 200 nm for carbon. Also, dual implantation of Cþ and V2þ was done. Formation of
V16N1.5 and Cr23C6 was observed by X-ray diffraction (XRD) as a result of V2þ and Cþ implantations. The intensive ion implantation
was observed to smooth the cathodic-arc-deposited coating surface, which was decorated by the chromium particles and nodular
structures. The submicron diameter particles were reduced in size due to sputtering, and weakly bound particles over mm in size were
pushed out from the surface, leaving cavities behind. The internal stress of the CrN coating was about 1.4 GPa. The compressive
stress increased to 4 GPa due to carbon implantation and to 2.4 GPa due to V2þ implantation. The microhardness was observed
to increase from approximately 3000 HV to approximately 4000 HV as a result of the implantations. In dry sliding against a WC
ball, an increase of wear resistance of the V2þ- and Cþ-implanted CrN up to 10 and 1.5, respectively, was recorded. Also, the
corrosion resistance was significantly better as determined by polarization measurements.

4.02.3.8.2.3 TiAlN
The addition of aluminum to TiN has been widely applied in order to enhance the coating’s thermal stability in machining tools.
Aluminum forms a thin protective oxide on the surface of the coating subjected to high local maximum temperatures of over
1000  C.
The increase of hardness in Ti–Al–N compounds is explained as a result of the formation of a NaCl-type supersaturated nitride
where Al substitutes Ti (when x < 0.7 in (Ti1–xAlx)N). When the material is annealed (e.g., during the high-temperature application),
fine-scale TiN and AlN precipitates are formed, increasing the hardness. With further annealing, a thermodynamically stable cubic
NaCl-type TiN and ZnS–Wurtszite-type AlN are formed (60).
Aksyonov et al. (36) used the T-shaped source to deposit TiAlN coatings. By applying Ti and Al cathodes, it was possible to
deposit TiAlN coating with 40 wt.% of aluminum. The spatial variation of the aluminum content was less than 3 wt.%. On the other
hand, the aluminum content could be adjusted to values between 8 and 58 wt.%. Thus, a flexible filtered cathodic-arc source to
optimize the Ti/Al composition in TiAlN films was demonstrated.
Liu et al. (61) investigated the thermal stability and oxidation of TiAlN coatings, which were cathodic arc deposited from Ti–Al
alloy targets in a nitrogen–argon ambient. The (Ti–50Al)N coating remained nitride even up to 900  C annealing in air, whereas the
(Ti–30Al)N coating was completely oxidized. The coating remained electrically conductive and prevented diffusion of Cr from steel
alloys. These properties are important for solid-oxide fuel cell (SOFC) applications to stabilize the steel interconnects.

4.02.3.8.2.4 Other ternary nitrides


Xie et al. (62) reported on the processing of 1.3 mm thick multilayered (bilayer or trilayer) TiN/Ti and TiN/Ti–Si–N and their
mechanical properties. The structure is composed of 300 nm TiN layers and about 100 nm layers of Ti or Ti–Si–N. The TiN was
a columnar structure. The predominant mechanism of deformation was intergranular under indentation on a ductile steel substrate.
This was due to the interlayers between the TiN. The crack propagation in the columnar TiN layer is terminated in the interlayer (see
Figure 36). Both types of interlayers, the ductile Ti layer and hard and brittle nanocrystalline Ti–Si–N, acted as barriers against
intergranular shear sliding. The deformation resistance is increased by two mechanisms: (1) intercolumnar shear area increase as the
columnar shear of the TiN is interrupted by the interlayers, and (2) energy consumed in the deformation of the interlayer.
The increase of the number of interlayers in the structure also increased the deformation energy. The film with the nanocrystalline
Ti–Si–N interlayer needed much higher deformation energy than that with the Ti interlayer.
In the case of depositing Ti–Si–N film by DC cathodic arc from a composite target Ti0.8Si0.2 in N2/Ar ambient, filtering of
macroparticles increased the corrosion resistance of the coating. Corrosion potential was further increased for the depositions,
where substrate bias voltage getting an optimum at 120 V was used. This bias voltage also resulted in the lowest roughness value
(ra ¼ 10 nm). The film composition was analyzed to have 15 nm size TiN nanocrystals embedded in an amorphous silicon nitride
matrix (63).
Addition of magnesium to TiN has been shown to enhance the thermal stability of the coating compared to pure TiN (64). The
atomic ratio of Mg–Ti in the TiMgN alloy could be varied from 0 to 0.55. The deposition was done by using a hybrid pulsed arc for Ti
and magnetron sputtering of Mg in a nitrogen/argon ambient. The Mg alloying did not affect the hardness of the coating (value
measured 34–38 GPa). But the oxidation kinetics of TiMgN was clearly different from that of TiN. The TiO2 rutile was detected in the
coatings by XRD after annealing of TiN in air at 450  C and of Ti-0.41MgN at 550  C. TiMgN coatings have color varying from
golden-copper to violet-gray as the atomic ratio of Mg/Ti is varied from 0 to 0.55.
An industrial cathodic-arc device has been applied to coating with TiBN films (65). Sintered-arc Ti/B alloy targets of two different
compositions were used: 5/3 and 5/1. By varying the N2/Ar gas ratio between 0 and 1, the obtained film compositions are shown in
a simplified Ti–B–N ternary in Figure 37. The boron content in the film was in all cases roughly 50% of that of the target
composition. The loss of boron was assumed to be due to gas scattering. At pure Ar, ambient TiB and Ti phases were observed in the

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
36 Cathodic-Arc and Thermal-Evaporation Deposition

Figure 36 The deformation of a multilayer TiN with Ti or Ti–Si–N nanocrystalline interlayers. Reproduced from Xie, Z. H., et al. Deformation Mechanisms
of TiN Multilayer Coatings Alternated by Ductile or Stiff Interlayers. Acta Mater. 2008, 56 (4), 852–861.

film by using XRD. When nitrogen was added, a nanocomposite structure with Ti(B)N crystals with a size of 8–30 nm was observed.
With a low N2/Ar ratio (0–0.1), B–O and B–Ti and pure B signals were detectable by X-ray photoelectron spectroscopy (XPS) on the
surface. With low pressure of nitrogen, the 8–20 nm size Ti(B)N nanocrystals were surrounded by an amorphous TiBx matrix. At
high pressure of nitrogen, Ti(B)N nanocrystals were embedded in an amorphous BN matrix. With N2/Ar over 0.25, B–N bonds
dominated, as shown by XPS. By analyzing the mechanical properties of the films deposited from a Ti/B 5/3 target, an optimum of
hardness and wear resistance was obtained with N2/Ar ration 0.1. The hardness was 40 GPa, and the corresponding wear resistance
was 10 higher than that of other compositions measured in dry sliding against an Al2O3 ball. Otherwise, the hardness and wear
resistance of the Ti(B)N were about the same as for TiN. The optimal hardening mechanism was attributed in the case of high boron
concentration to the mechanically strong TiBx amorphous matrix, and in the case of lower B concentration to the effective solid
solution hardening of Ti(B)N nanocrystals compared to TiN nanocrystals.

4.02.3.8.3 Oxides
Oxide coatings deposited by cathodic-arc methods are often applied as optical coatings. In this section, some important recently
investigated oxide coatings are introduced in more detail.

4.02.3.8.3.1 ZnO
ZnO is a transparent n-type semiconducting film (66). It has a wide band gap of 3.37 eV and a high exciton binding energy (about
60 meV). ZnO is applied in photodetectors, light-emitting diodes (LEDs), electroluminescence (PL), and photovoltaic and gas
sensors (67,68). Compared to other thin-film deposition methods, vacuum arc has the advantage of a high ionization rate
contributing to the film’s structure, which prevents the growth of columnar crystals and enhances adhesion to the substrate.
Typically, the deposition is carried out by using a filtered arc, either pulsed or DC. The DC current varies normally between 70
and 150 A, and the substrate temperature is elevated to about 200  C depending on the substrate. The deposition rate also varies

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
Cathodic-Arc and Thermal-Evaporation Deposition 37

Figure 37 Different compositions of Ti–B–N coatings obtained from cathodes with Ti/B ratio of 5/1 and 5/3, shown in a simplified ternary phase
diagram. Reproduced from Neidhardt, J., et al. Wear-Resistant Ti–B–N Nanocomposite Coatings Synthesized by Reactive Cathodic Arc Evaporation. Int. J.
Refract. Met. Hard Mater. 2010, 28 (1), 23–31.

from 2 to over 200 nm min1. The oxygen pressure is about 0.1 Pa. The films are normally nanocrystalline, with the crystal size
varying from about 10 to 20 nm depending on the process.
The oxygen flow rate and the oxygen partial pressure have been varied in order to find optimal structures and properties of the
ZnO films. A filtered 60 DC arc was used to deposit ZnO films with varying oxygen flow rates. With the optimal oxygen flow rate of
5 sccm, the films had the lowest tensile intrinsic stress and the highest conductivity of 5  103 (U cm)1 (69). The intensities of the
PL spectra depended on the oxygen flow, and the corresponding transition could be identified when compared to the numerical
simulations of different intrinsic defect levels in the ZnO.
ZnO thin films have been deposited by DC-filtered arc on UV-fused silica. The arc current was 150 A, the oxygen pressure was
0.67 Pa, and the deposition rate was 10 nm s1. The films were post annealed in atmosphere at temperatures between 400 and
600  C to enhance the optical properties. The annealing resulted in stoichiometric ZnO, and the crystal size increased from 17 to
21 nm. At the visual range, the optical transmittance increased from 85 to 90% as a result of annealing. The conductivity decreased
dramatically from 102 (U cm)1 to 104 (U cm)1 (70).
Pulsed filtered arc with a 90 filter has been used to deposit ZnO films. Deposited at a substrate temperature of 25  C, the average
crystal size was 17 nm. The optical transmittance at the visible region (400–700 nm) was over 90%. The photoconductivity was
better with thinner films (170 nm) than with thicker ones (740 nm). This was attributed to the surface state sensitization due to the
difference in oxygen bonding on the surface of the films compared to the bulk of the films (71).

4.02.3.8.3.2 ZnO:Al
Aluminum-doped ZnO (AZO) is a good candidate to replace the standard indium tin oxide (ITO) as a transparent conductive film.
One of the essential issues is the sufficiently high deposition speed. A high deposition rate was obtained by using DC and a 90
helical filter with a 50 mT magnetic field. The distance between the cathode and substrate was about 300 mm. The arc current was
25–70 A, the oxygen flow rate 20–50 sccm, and the total pressure between 1 and 5 mTorr. The substrate was heated to 200–400  C.
Owing to the short distance between the cathode and the substrate, the ions have fewer collisions before hitting the substrate.
Together with the high deposition rate, a high surface temperature exceeding the substrate temperature for a crystalline AZO film
resulted. This helped to restrict unnecessary substrate heating and permitted depositions on lower-cost glass substrates. The
resistivity of the film was 4.8  104 U cm, and the mobility 50  3 cm2 V1 s1. The growth rate was 250 nm min1 (72).
Post annealing of the AZO has been observed to enhance the transmittance while lowering the conductivity of the film. AZO film
deposited by pulsed filtered arc at 0.06 Pa oxygen pressure was rapidly thermal annealed for 10 s. The optimum temperature was
600  C, which increased the transmittance from about 20 to 58% at 2500 nm wavelength, yet maintained a resistivity of only
3.6  103 U cm. The effect was attributed to the increased crystallinity and to the decrease of charge carrier concentration as a result
of post annealing (73).
The mechanical properties of AZO coatings were optimized by using a filtered one-eighth torus DC arc deposition. The devise
contained two cathodes 50 mm from the central axis of the plasma duct. Each cathode was equipped with an individual power

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
38 Cathodic-Arc and Thermal-Evaporation Deposition

Figure 38 Schematic diagram of a laser-assisted filtered cathodic vacuum arc deposition system. After Yuen, C.; et al. Room Temperature Deposition of
p-Type Arsenic Doped ZnO Polycrystalline Films by Laser-Assist Filtered Cathodic Vacuum Arc Technique. J. Appl. Phys. 2007, 101 (9), 094905.

supply. The hardness and wear properties were optimal at 0.5 Pa oxygen, with the Zn/Al concentration of 1.2 at a deposition
temperature of 500  C (74).

4.02.3.8.3.3 ZnO:As
ZnO films are n-type semiconductors. The need of p-type ZnO has previously been fulfilled, for example, by using molecular beam
epitaxy (MBE) at a high substrate temperature of 1000  C (75). C. Yuen et al. used a hybrid filtered DC arc and pulsed laser
deposition to deposit ZnO doped with arsenic. An off-plane double-bent filter (90 and 45 with respect to the axis of the cathodic-
arc source) and Zn3As2 target for pulsed laser deposition were used (Figure 38).
p-type ZnO:As was successfully deposited at room temperature, even on polymeric substrates at a 10 nm min1 deposition rate.
The high ionization rate was observed to produce similar optical properties and crystal structure as when using high substrate
temperature. It was assumed that high ionization corresponded to a surface temperature of about 300  C. A functioning p-n ZnO-
based homojunction was deposited on polymeric substrate (76).

4.02.3.8.3.4 TiO2
Titanium oxide is the most investigated metal oxide in surface science. TiO2 has two important phases (rutile and anatase) and
a number of applications, including catalyst, photocatalyst, photovoltaic, solar thermal, gas sensors, white pigments, corrosion
protection, and optical coating in ceramics and in electronic devices. Huge effort has been put into the development of photo-
catalytic surfaces as thin films. Owing to the high refractive index (2.9 rutile, 2.5 anatase), TiO2 is extensively used for thin-film
optical-interference coating (77).
Bias voltage has been shown to affect the mechanical and optical properties of the DC-filtered, vacuum-arc-deposited TiO2 films
at room temperature. When coated on insulating substrates, the DC bias voltage, however, resulted in charge buildup and limited
the growth of the crystal structure in the film, and the film structure was amorphous (78). The use of pulsed bias at 5 and 50 kHz
resulted in an anatase structure when focusing of the plasma was used, resulting in a higher current density. The critical current
density was 0.5 mA cm2. With lower value, the structure remained amorphous (79). Possibly the high current density and pulsed
bias voltage elevated the flash temperature on the surface, assisting crystal growth. When DC vacuum arc deposition was carried out
at elevated temperature with no additional bias voltage, the coatings were amorphous until, at 300  C deposition temperature,
crystalline anatase started to form (80).
Oxide coatings are also developed for corrosion protection and for barrier coatings. In the following, some examples of recent
results of such cathodic-arc-deposited oxide films are discussed.

4.02.3.8.3.5 CrOx and TaOx


Filtered vacuum arc has been used to deposit 10–50 nm thick CrOx and TaOx coatings at room temperature on low-alloyed carbon
steel (AISI52100), and the corrosion properties were investigated (81). Prior to deposition, the steel surface was etched by 350 eV

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
Cathodic-Arc and Thermal-Evaporation Deposition 39

argon ions at 0.5 mA cm2 for 30 min or longer. By using time-of-flight secondary ions mass spectroscopy (ToF-SIMS), tantalum
carbide formation was observed at the substrate-coating interface. Carbon was assumed to be due to surface contaminations. The
stability of the interlayer was attributed to be the reason for the better sealing property against corrosion current for the Ta2O5 film as
compared to the Cr2O3 film. The increase of the film thickness from 10 to 50 nm did not increase the sealing property of the
coatings. The best corrosion resistance was after a long 90 min argon ion etching.

4.02.3.8.3.6 ErOx
Erbium oxide has high stability in a strongly reducing atmosphere and has the capability of suppressing hydrogen isotope
permeation. Filtered-arc-deposited Er2O3 films have been investigated to be applied to reduce tritium permeation and accumu-
lation into fusion reactor first wall materials (82,83).

4.02.3.8.3.7 Al–Cr–O
An industrial vacuum arc deposition process utilizing pulse modification of DC arc sources and reactive gas control has been
developed by OC Oerlikon Balzers AG (84). (Al1xCrx)2O3 coatings with x varying from 1 to 0.5 were produced at 550  C
temperature and in pure oxygen ambient of about 0.3 Pa. The microstructure of the coatings was a solid solution of a-alumina and
eskolite chromia. With a lower content of chromium (x < 0.15), the coatings were amorphous. The (Al1xCrx)2O3 coating deposited
on top of a TiCN coating was demonstrated to prevent the oxidation and degradation of the TiCN when annealed at 900  C in air.
Multilayers of AlCrN/AlCrO were deposited, demonstrating the potential to produce various multilayer structures by using multiple
plasma sources and switching of reactive gas.

4.02.3.9 Ultrathin Films and Nanolaminates


Films with a thickness not more than 10 nm are an interesting challenge both in film deposition aspects and from an applications
viewpoint. Such films are called ultrathin. The cathodic-arc process due to its high ionization of the plasma and high ion energy at
the deposition has distinct benefits in the growth of ultrathin films.
Metal ultrathin films are conductive and transparent in the visual part of the spectrum and thus applicable to optical coatings.
Byon et al. have grown thin Ag films with both filtered cathodic arc and magnetron sputtering on ZnO-coated glass (85). The
coalescence of the island structure was monitored by in situ conductivity measurements. The onset of conductivity occurred much
earlier with cathodic-arc coating compared to magnetron-sputtered coating (Figure 39).
The reason for this was in the difference of the ion energy, which was about 70 eV for cathodic arc and 1–3 eV for magnetron
sputtering, respectively. With low ion energy, Ag atoms remained on the substrate surface and minimized the energy in the
formation of islands. The more energetic Ag ions were planted to a depth of 1.5 nm, which was several atomic layers beneath the
surface. Furthermore, the islands had a reduced height/width aspect ratio, leading to an earlier contact between islands. A spon-
taneous increase of conductivity after the interruption of the deposition was observed. The spontaneous coalescence of islands was
faster with cathodic-arc deposition than with magnetron sputtering. The finding indicates the potential for optimization by using
energetic metal ions for ultrathin metal layers for optical coatings.

Figure 39 Sheet resistance of silver coating on ZnO/glass substrate as a function of coating thickness, produced by filtered cathodic arc and mag-
netron sputtering. After Byon, E., Oates, T. W. H.; Anders, A. Coalescence of Nanometer Silver Islands on Oxides Grown by Filtered Cathodic Arc
Deposition. Appl. Phys. Lett. 2003, 82 (10), 1634.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
40 Cathodic-Arc and Thermal-Evaporation Deposition

Figure 40 The sp2 content of ta-C film as a function of coating thickness measured with XPS and near-edge X-ray absorption fine structure (NEXAFS).
Reproduced from Soin, N., et al. Thickness Dependent Electronic Structure of Ultra-Thin Tetrahedral Amorphous Carbon (Ta-C) Films. Thin Solid
Films 2012, 520 (7), 2909–2915.

ta-C films were described in Section 4.02.3.8.1 in more detail. However, the ultrathin ta-C layers are of particular interest in
several applications and in nanomaterials research. N. Soin et al. have deposited ta-C films, varying the thickness between 1 and
10 nm by using filtered cathodic arc (86). Several surface-sensitive spectroscopic methods were used to measure the film-bonding
structure as a function of film thickness. In Figure 40, the sp2 content as a function of coating thickness is shown. A 1 nm thick film
shows mainly contaminated hydrogen-terminated carbon sp3 bonds. In the intermediate thickness of 2–5 nm, close to 40% of sp2
bonds are observed and films thicker than 5 nm have about 32% of sp2 and thus higher sp3 content. Thus, the films of already 2 nm
thickness have a high sp3 content, and films of 6 nm or more in thickness are typical sp3 ta-C coatings. Surface acoustic waves have
been used to measure ultrathin ta-C films deposited by pulsed cathodic arc on silicon (87). The elastic modulus of a 5 nm film was
430 GPa, typical of ta-C film with a high content of sp3. Thus, the ta-C films are applicable as a protective ultrathin layer for optical
and magnetic storage devices.
The mechanical and tribological properties of ultrathin ta-C are naturally affected by the substrate properties. G. Wang et al. have
demonstrated an ultrathin version of the duplex process. A classical duplex coating is, for example, a few mm of TiN coated on top of
a plasma-nitrided steel surface, which has a hardened surface zone of about 100 mm in thickness. In the ultrathin version of duplex
coating, a silicon substrate with a Fe–Ni (tunneling magnetoresistant) alloy coating was magnetron sputtered with 3 nm of silicon.
The layer was plasma nitride, forming a 3 nm gradient Si–N layer on the magnetic metal films. Finally, deposition of 5 nm of ta-C by
using a filtered cathodic arc was done. The mechanical and corrosion properties were found significantly better compared to the
nonnitrided substrate (88).

4.02.3.9.1 Multilayers, Superlattices, and Nanolaminates


The multilayer deposition results in interesting phenomena when the thickness of individual layers becomes sufficiently thin (in the
range of 7 nm). The hardness of the layer and even the elastic modulus of the coating are higher than the values of individual
sublayers. This is called the superlattice effect (60). It was first observed for single-crystal TiN/VN layers epitaxially grown on MgO
substrate by using MBE. In a typical multilayer of material, A and B each has a constant layer thickness, and the structure has
a thickness modulation period l. The value of l has an optimum in the range of 2–10 nm, and then the structure is called
a superlattice. Since the first observations, numerous material combinations with the superlattice effect have been observed,
including transition metal nitrides, carbides, and carbonitrides. Such films have also been deposited by cathodic arc or by using
hybrid methods combining cathodic arc and sputtering.
Several superlattice mechanisms have been identified. One of the mechanisms is the stress caused by lattice mismatch at the
interfaces. The hardness increase is due to the blocking of the dislocation movement. The reason for this is the difference in shear
moduli of the individual layers and the coherency strain, resulting in periodic stress–strain fields caused by the lattice mismatch. In the
TiN/AlN system with a l value of less than 3 nm, a metastable cubic crystalline structure for the AlN layer is formed. For superlattice
films with AlN layers thicker than 2 nm, a stable hexagonal structure of AlN is formed. The maximum hardness was obtained with
a l value of 2.5 nm. When the modulation period is reduced to a sufficiently small value, a TiAlN solid solution is formed.
LAFAD has been used to deposit multilayer films of TiN/Ti and TiCrN/TiCr (89). Nanolaminates WC–CrAlN with a bilayer
period of 2–10 nm have been deposited by Lee et al. (90). In both cases, the microhardness was close to that of the monolithic film,
but the internal stress was decreased and the adhesion and tribological properties were significantly enhanced.
The inherent challenge of ta-C films is the high intrinsic compressive stress. Wang et al. investigated the use of filtered cathodic
arc to produce layered structures by varying the bias voltage in different sequences from 0 to 100 V. The 50 nm thick graded layered

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
Cathodic-Arc and Thermal-Evaporation Deposition 41

films were processed by applying higher bias voltage at the beginning of the deposition and lower values at the top layers of the
coating. The high nanohardness of 59 GPa was reached, while the compressive stress was reduced to 3.3 GPa from the value of
5.2 GPa of the single-layer ta-C film (91).

4.02.3.9.2 MAX Phase


Multilayers or nanolaminates form a single-phase material (e.g., in the case of Ti3SiC2). This material was first discovered in 1990 at
Drexel University and is called the MAX phase. The MAX phase is produced as both bulk and thin films, and a recent review has been
published by P. Eklund (92). The MAX phase combines metallic and ceramic properties. The composition is Mnþ1AXn, where M is
a transition metal, A is from the A group of the periodic table (usually IIIA or IVA), and X is carbon or nitrogen. n may have values of
1, 2, or 3. The structure is inherently nanolaminate where Mnþ1Xn layers are interleaved with a single layer of A. The laminate
crystalline structure provides, for instance, high toughness, thermal stability, and good tribological properties. Pulsed cathodic arc
with Ti, Al, and C cathodes was used to deposit Ti2AlC MAX-phase films at 900  C (93). There are indications that the high
ionization rate of the cathodic arc allows the MAX phase to be deposited at lower substrate temperatures than when using other PVD
methods.

4.02.3.10 Applications of Cathodic-Arc Deposited Films


The technology of thin films is directly connected to the industrial applications and needs during its history. There are benefits and
limitations regarding cathodic-arc deposition technology. The best features of the technology are demonstrated in the following
sections analyzing the tribological, optical, decorative, and biological applications.
The commercial use of cathodic-arc coatings has been summarized in Table 3, following A. Anders (17,94):

4.02.3.10.1 Tribology
4.02.3.10.1.1 Potential of tribological coatings
One of the main application fields of PVD thin films is to protect material against wear and to provide a low coefficient of friction
(95). The needs for surfaces and coatings in tribological contact are very much dependent on the conditions and requirements of the
mechanical contact. The coefficient of friction is due to a complicated process where typically engineering lubricants are also
involved. The reduction or control of wear is the most common function of tribological coatings. Wear is a system parameter
depending on numerous things such as the substrate material, countersurface, load, speed of sliding, wear debris, and lubrication.
Both friction and wear are also nonlinear processes where a stable condition is reached after the tribological system has reached
a balance. Thus, the selection and optimization of surface coatings in tribological applications comprise a challenging task.
The reliability and lifetime of mechanical devices and production systems have such high demands that the challenge would be
impossible to overcome without the use of wear-resistant coatings and surface treatments. The economic impact of wear and friction
exceeds US$100 billion per annum. One example of the impact and potential of advanced coatings for tribology is the recent
publication by Holmberg et al. (96). The fuel consumption of an average passenger car was carefully analyzed. It was estimated that
about 28% of the energy is used to overcome direct frictional losses without taking into account the braking. This is equivalent to 7.3
million TJ per year. A special evaluation was carried out on the published data of low-friction coatings emerging on the market and
of coatings that are currently in the development phase. Based on this information, it was estimated that by applying surface
coatings, a reduction of frictional losses by 18% in the short term (5–10 years) and 61% in the long term (15–25 years) could

Table 3 Commercial uses of various typical cathodic-arc coatings

Coating category Application Typical coating material

Hard coatings Cutting tools TiN, TiAlN, TiAlYN, multilayers, ta-C


Forming tools CrN, multilayers
Plastic molds TiN, TiAlN, CrN, CrTiN, DLC
Hard coatings with solid lubricants Cutting tools TiAlN/W–C:H, TiAlN/WC/a-C, TiAlN/MoS2
Forming tools CrN/W–C:H
Decorative coatings Faucets, shower heads ZrN, CrN, TiAlN
Door hardware ZrN
Automotive Cr on polymeric interlayer
Functional coatings Engine parts, fuel injection, automotive interior parts CrN, metal–DLC, AlSn, CrN, DLC
Textile machinery TiCN, metal–DLC
Electromagnetic interference (EMI) or radiofrequency Al, Cu, Ni–Cr
interference (RFI) shielding
Reflective coatings Al, Cr, stainless steel, Ni–Cr
Biocompatible coatings for medical tools and implants Ti, DLC, TiN, TiCN, ZrN, CrN, Ag, Au, Cu
Metallization and diffusion barrier for semiconductors Ta, TaN, Cu
Protection of magnetic disks and read-write heads Ultrathin DLC

After Anders, A. Cathodic Arcs: From Fractal Spots to Energetic Condensations. In Atomic, Optical, and Plasma Physics; Springer: New York, 2010; Vol. 50 and http://www.osti.
gov/bridge/purl.cover.jsp?purl¼/810482-HCSxLp/810482.pdf.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
42 Cathodic-Arc and Thermal-Evaporation Deposition

Figure 41 The trends of coefficient of friction in four different categories of passenger cars: (a) typical 10-year-old car in use today: Car2000; (b) an
advanced car that uses the best coatings commercially available today: Car2010; (c) a car that uses the best coatings demonstrated in laboratories
in research programs today: Lab2010; and (d) a car that is possible to develop based on the best guess of experts on tribology: Car2020. Reproduced from
Holmberg, K.; Andersson, P.; Erdemir, A. Global Energy Consumption due to Friction in Passenger Cars. Tribiol. Int. 2012, 47(C), 221–234.

be obtained. In Figure 41, the development trend of lowering friction by the use and development of low-friction coatings in cars
is shown.

4.02.3.10.1.2 Nitride coatings


The quick development of tribological coatings is closely related to the discovery of TiN coatings. The coating is hard and chemically
stable, adheres well to metals, and bears a distinct golden color. In the 1980s, TiN coating became a standard for high-performance
cutting tools made of high-speed steel and hard metal. Cathodic-arc deposition was one of the coating methods to produce high-
quality TiN coatings on tools.
The cathodic-arc process provides highly ionized plasma, which is ideal for growing hard layers with high density and small grain
size. The growth rate is high and limited mainly by the heat management of the deposition process. The most important limitation
in the vacuum arc process is the evolution of droplets resulting in a coating with pinholes and other defects. In many tribological
applications, these defects are detrimental, but in some cases they are even beneficial. As discussed in Section 4.02.3.7.3, the
nonfiltered vacuum arc has the highest coating speed and is easiest to upscale to a commercial process. The surface is decorated with
defects caused by macroparticle bombardment. However, the high quality of the film ‘around’ the defects has excellent properties
that are difficult to obtain by other means. Due to the high ionization rate, the deposition temperature may be kept lower compared
to, for example, magnetron sputtering in order to provide good adhesion and dense microstructure. This is an advantage in the
selection of substrate materials. For example, the use of hardened steel for components is possible since the substrate temperature
can be kept below 100  C.
In recent years, the expectations for coatings in applications have become more demanding and thin-film defects are often not as
acceptable as before. Filtering has also been adapted more for large-scale deposition systems.
The effect of filtering has been investigated in numerous publications. For example, Hsu et al. have studied the tribological
properties of filtered and nonfiltered TiAlN (97). The abrasion resistance of both coatings was almost identical.
The difference in the surface morphology of filtering compared to the nonfiltered deposition of CrN is illustrated in Figure 42
(98). The nanohardness (21 GPa) and elastic modulus (490 GPa) of both coatings were quite similar. In dry reciprocal sliding
against a Si3N4 ball, the defect-decorated surface of the nonfiltered coating had low wear resistance due to wear debris removal
caused by macroparticles and micropits. The filtered CrN showed mild and oxidative wear.

4.02.3.10.1.3 Multilayers
The layered structures of thin films and nanolaminates have been one of the major development strategies to create coatings for high
loading conditions (95). The interfaces of indifferent materials and laminates of thin intermediate layers have a strong effect on the
mechanical properties. The hard materials deform by crack propagation, and the discontinuities in the film structure have several
possible mechanisms that toughen the film: (1) the crack may create a void at the tip that reduces the stress driving the crack; (2)
a change in stress at the interface may bend the propagation of the crack, preventing it from penetrating to the substrate; and (3) in
the case of tougher laminate, plastic deformation lowers the stress sufficiently at the crack tip to stop the crack growth (60).
In tribological applications, the increase in hardness is beneficial against abrasive wear. The wear phenomenon against harder-
wearing counterparticles is related to the ability of the particles or countersurface asperities to penetrate into the surface and plow
material. Then, the wear rate is roughly inversely proportional to the hardness of the surface. The increase in hardness is associated
with brittleness, the ability for cracks to grow in the hard material. The toughness of the material is a measure of load required to
grow a crack in the brittle material. Often, the toughness of the material is simply evaluated by measuring the hardness and elastic
modulus. The effective modulus is defined E* ¼ E/(1n2), where n is the Poisson’s ratio of material. H, E*, and also the ratio H3/E*2

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
Cathodic-Arc and Thermal-Evaporation Deposition 43

Figure 42 The difference in surface morphology of filtering (a) compared to the nonfiltered (b) deposition of CrN. Reproduced from Mo, J. L.; Zhu, M. H.
Tribological Characterization of Chromium Nitride Coating Deposited by Filtered Cathodic Vacuum Arc. Appl. Surf. Sci. 2009, 255 (17), 7627–7634.

could be used to analyze the toughness of the film. The higher values of H3/E*2 are more tough. Both H and E are measured by using
nanoindentation, which could be used to predict the toughness of the film (99).
A hybrid of a filtered arc deposition and an unbalanced magnetron sputtering (FAD–UBM) device has been used to deposit
layered TiCrN and TiBC coatings (100). A complicated nanolaminate and gradient coating architecture was produced, as sche-
matically shown in Figures 43 and 44. The load supporting the ductile layer is a multilayer of TiCr/TiCrN with a gradient of carbon.
The carbon gradient is obtained by gradual increase of CH4 in the ambient during film growth. Gradually, magnetron sputtering is
applied to obtain B4C. A harder top layer is processed. The wear tests simulating the unwanted interruption of oil lubrication
demonstrated the coating against an M50 steel ball in reciprocating sliding (3 GPa contact load, 0.36 m s1 sliding). By measuring
the time to increase the sliding friction from 0.02 to the cut-off valued of 0.15, the survival time up to 450 s was observed with the
coating. The corresponding value for an uncoated surface was less than 100 s.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
44 Cathodic-Arc and Thermal-Evaporation Deposition

Figure 43 The nanolaminate and gradient-coating architecture deposited on an aerospace bearing material. Reproduced from Gorokovsky, V. I., et al.
Deposition and Characterization of Hybrid Filtered Arc/Magnetron Multilayer Nanocomposite Cermet Coatings for Advanced Tribological Applications.
Wear 2008, 265 (5–6), 741–755.

Figure 44 (a) High-resolution transmission electron microscopy image of the top segment shown in Figure 42 and (b) a higher magnification showing
the nanolaminates of the top end of the top segment. Reproduced from Gorokovsky, V. I., et al. Deposition and Characterization of Hybrid Filtered
Arc/Magnetron Multilayer Nanocomposite Cermet Coatings for Advanced Tribological Applications. Wear 2008, 265 (5–6), 741–755.

Z. Ottmar et al. (101) have investigated multilayer cathodic-arc deposition to grow thick films up to 100 mm in thickness. In the
cathodic-arc process, the growing film thickness bears the nodular growth of defects, resulting in a very rough surface. In CrN/TiN
multilayer film with about 100 nm single-layer thickness, healing of defects was observed. The deposition was carried out at 0.8 Pa
pressure of nitrogen from Cr and Ti targets with an arc current of 100 A and a substrate temperature of 400  C. As seen in Figure 45,
the embedded particles are covered with a multilayer structure that smoothes the surface, showing a completely opposite effect to
the nodular growth. The smoothing and self-healing of defects are not well understood. It was assumed to be related to the high
surface diffusion of species due to high ion energy and the suppression of crystal growth in multilayer films, particularly when the
single layer is smaller (in the range of 5–10 nm). The high deposition rate of 5 mm h1 and the ability to grow thick films with a low
number of defects open up the potential to produce tribological coatings for tools and components.

4.02.3.10.1.4 The ta-C coatings


The tribology of DLC is often analyzed by dividing the DLC coatings into two categories: hydrogenated and hydrogen-free films.
Commonly, the former are fabricated by PECVD-type processes, and the latter by vacuum-arc deposition.
ta-C coatings are among the hardest thin films deposited at low temperature. ta-C:H is a tetrahedrally coordinated hard DLC film
with hydrogen added up to 30 at.% content. The ranges of hardness and coefficient of friction are shown in Figure 46 (102). One
can see that the range of hardness from hard to superhard coatings is possible with several types of coatings, but the DLC coatings
have distinctly low coefficients of friction.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
Cathodic-Arc and Thermal-Evaporation Deposition 45

Figure 45 A cross section of a CrN/TiN multilayer on a 1.3343 steel substrate showing healing of defects. Reproduced from Zimmer, O.; Kaulfub, F.
PO4046-Hard Coatings with High Film Thickness Prepared by PVD. Plasma Process. Polym. 2009, 6 (S1), S152–S156.

The cause of the low coefficient of friction for DLC films could be due to both intrinsic and extrinsic factors. The intrinsic factors
include the sp2/sp3 bonding. The extrinsic factors include the parameters of the sliding contact, the ambient atmosphere, and the
temperature. Thus, the coefficient of friction (COF) is dependent on a large number of parameters and is both a material parameter
and an engineering system parameter. The surface roughness is one of the most important material parameters. For DLC and
diamond, there is almost a linear correlation with the smoothness and the COF and the amount of wear. The smoother films have
a lower COF and wear rate. For arc-deposited films, the surface roughness varies in a large range depending on the deposition
source, film thickness, and filtering. Often, a post polishing of the ta-C film is required before application for sliding contact.
The external factors have a profound significance on COF. ta-C films from a clean system (e.g., UHV vacuum and high
temperature) occupy the dangling sigma bonds on the surface, which form chemical bonding over the contact surface as
demonstrated by numerous molecular dynamic simulations. In the case that the ambient contains hydrogen or water, or when the
DLC film contains hydrogen, the sigma bonds are terminated by hydrogen or OH groups. Such a surface is inert, very low interaction
results between the surfaces, and the COF of the films is low. When the surfaces are clean and not terminated, COF values of up to
0.7 have been reported. In contrast, if DLC has up to 50 at.% hydrogen and the surface is smooth, COF values as low as 0.001 in
sliding tests in dry nitrogen atmosphere have been achieved. Such low COF is difficult to obtain, even in lubricated conditions, and
the phenomenon is called superlubricity.
Often in applications in tooling and mechanical engineering, the DLC coating has a countersurface of metal, ceramic, or
polymer. When two hard ta-C surfaces slide against each other, there is a risk of high local stresses being induced, causing fracture of
the coatings. When DLC has a counterbody of, for example, metal, the COF value depends on the formation of a transfer layer
on the countersurface. In the case of carbide-forming metal (e.g., Ti, W, Si, or Fe), a covalently bonded transfer layer is often formed
on the countersurface. This transfer layer results in low adhesion between the surface and low COF. In the case of a non carbide-
forming countersurface such as Cu, no transfer layer forms and the COF is higher. The formation of the transfer layer is dependent

Figure 46 Schematic presentation of the coefficient of friction and hardness of various DLC and other PVD coatings. After Erdemir, A.; Donnet, C.
Tribology of Diamond-Like Carbon Films: Recent Progress and Future Prospects. J. Phys. D Appl. Phys. 2006, 39 (18), R311–R327.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
46 Cathodic-Arc and Thermal-Evaporation Deposition

Type of DLC a-C:H a-CH or a-C ta-C or a-C


hydrogen free

Friction range < 0.02 0.1 – 0.2 > 0.5

Nature of interaction Van der Waals Hydrogen or

Energy (eV/bond) 0.08 0.2 0.4 – 0.8

Interaction between
two DLC surfaces C C
H H H0 OH C C

H H O O C C
C C C C

Environment Inert of UHV Humid UHV

Figure 47 Friction mechanisms of various types of DLC at the molecular level. After Erdemir, A.; Donnet, C. Tribology of Diamond-Like Carbon Films:
Recent Progress and Future Prospects. J. Phys. D Appl. Phys. 2006, 39 (18), R311–R327.

not only on the chemical properties of the countermaterial but also on the sliding parameters such as speed and load. Obviously,
the transfer film formation occurs in contacts on limited countersurface areas such as in the case of bearings, but transfer layer
formation is not possible (e.g., in cutting tool applications).
The coefficient of friction is different for different types of DLC and in different environments, and is illustrated in Figure 47.
Traditionally, the main application field of ta-C coatings has been tooling. Currently, the coatings of components (e.g., in the
automotive field) are growing in market volume.
One of the most remarkable achievements in the engineering of ta-C coatings for tribology is the application of friction modifiers
added to lubrication. Addition of glycerol mono-oleate (GMO) to a poly-alpha-olefin (PAO) oil resulted in a COF of about 0.05 in
sliding contact with two ta-C surfaces. When pure glycerol was used, the friction coefficient decreased close to 0.005 (103). Minami
et al. (104) investigated the surface phenomena in the lubricated contact experimentally by using isotope-modified GMO lubri-
cants. Martin et al. (105) carried out experimental surface analysis and numerical modeling. The conclusion was that the ta-C surface
is terminated with OH groups originating from the decomposition of glycerol at the tribological contact. A portion of glycerol
molecules decomposed to water molecules, providing superlubricity.
In lubricated contacts, it is well known that the thickness of the lubricating oil film is also dependent on the topography and
geometry of the sliding contact. By control of the topography of the ta-C coating, a benefit for oil lubrication was obtained. In
tribological tests simulating metal forming (e.g., punching), the lubricating oil was retained longer on a surface that has cavities
acting as lubrication pockets. The surface texturing could be done by allowing more macroparticles to the film, followed by pol-
ishing (cavities of 10–100 nm diameter); masking the substrate with deliberately dusted particles of a few mm in diameter before the
ta-C coating (cavities of 1–10 mm diameter); or using laser texturing (cavities of 10–30 mm diameter). The COF was measured in
a reciprocating test where an AISI316 ball of 10 mm in diameter was sliding at 4 mm s1 with a 10 N load on the textured ta-C on
M2 steel. The contact was relubricated by neat metal-forming lubricant after each 10 sliding cycles. The measured RVK value of the
surfaces was used as a measure of the number of cavities. A 15% lowering of COF was the result in laboratory tests with coatings of
the largest RVK (Figure 48). 15–100% enhancement of the tool life was obtained in real production tests in different metal-forming
processes (106).
Cathodic-arc information can be obtained from commercial companies’ online distributed data. In Table 4, such data about
commercial tribological coatings have been collected, including some properties and suggested applications. In commercial
information from various ta-C coating developers, some trends may be noticed, as discussed here.
By applying an effective filter (120 ), the lowered deposition rate is still sufficient for very thin layers typical for electronic
industry applications. Metal layers used for magnetic hard disks and read-write heads have been processed (Figure 49). The

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
Cathodic-Arc and Thermal-Evaporation Deposition 47

Re-lubricated
0.14

0.12

Coefficient of friction
0.1

0.08

0.06

0.04

0.02

0
0 0.05 0.1 0.15 0.2 0.25 0.3
Rvk

Figure 48 COF of textured ta-C film as a function of the RVK value, which is a measure of the volume of the cavities in the surface.

reduction of macroparticles with some different cathodic-arc processes is shown in Table 5. Given the high ionization rate, almost
conformal filling of trenches has been demonstrated with this method.
Laser ignition and compound cylindrical cathodes have been developed at Fraunhofer Institute (FhG-IWS). A multilayer coating
may be produced by focusing the ignition laser pulse on the required sector of the rotating cathode. The laser-ignited plasma source
may be equipped with an electro-static filter to reduce the number of macroparticles (Figure 50) (108).
For coating of ternary oxide films, a multisource-pulsed cathodic-arc system has been developed by Balzers Oerlikon AG; it is
schematically shown in Figure 51 (84).

4.02.3.10.2 Optical Cathodic-Arc Coatings


Cathodic-arc deposition is a natural method to produce optical transparent oxide films. When using sputtering methods, target
poisoning is a major difficulty in depositing oxide films with the desired stoichiometry. In cathodic arcs, poisoning is generally not
a problem, but instead it helps to stabilize the cathode spot ignition as described in Section 4.02.3.3. P. J. Martin et al. have made
pioneering work in the development of optical oxide coatings ((109) and references within). The high ionization rate and high ion
energy prevent the columnar growth of the oxide films, and dense films without substrate heating are possible. The cathodic-arc
optical coatings have a small extinction coefficient, and the refractive index may be selected by the choice of the coating material.
The refractive indices of different dielectric films deposited by cathodic arc are shown in Figure 52.
Optical films are used often as antireflective (AR) coatings. The sophisticated AR coatings are stacks of oxides with different
refractive indices. Such coatings on lenses of, for example, cameras need to be scratch resistant. Cathodic-arc films have an excellent
adhesion and wear resistance compared to films made using any other method.
One important type of AR coating is composed of stacks of oxides and ultrathin metallic layers. Typically, Ni, Au, or Al with
a thickness of less than 10 nm sandwiched between about 100 nm oxide layers is applied. N. B. Abally et al. have applied a filtered
cathodic arc to investigate Al2O3/Al–Al2O3 trilayer AR coatings. Based on numerical simulations, the Al layer optimum is 3 nm thick
(Figure 53) (110).
By using XPS and ellipsometry, the stoichiometry of the alumina was optimized. The deposition of the thin aluminum layer was
critical. For instance, the negative bias voltage played an important role. With a low value (<200 V), a 3 nm thick Al film was
islandlike. In the case where the negative bias voltage was too high (>1000 V), the film was smooth, but pits due to electric
discharges on the dielectric film were observed. The optimum bias value was 200 V. It was also observed that a nominal thickness
of 6 nm was required in order to obtain a 3 nm Al film thickness. Partial oxidation of the initial deposited 6 nm Al films was
assumed to be the explanation for this result (111).
The need for transparent conductive films is high due to several rapidly growing applications such as flat panel displays, solar
cells, and touchscreen devises. The standard solution is to produce transparent conductive oxides (TCOs) by depositing ITO. ITO
has a transparency of over 90% in the visible spectrum and low resistivity to 0.5 mUm for the best films. Currently, about 108 m2
of coated glass per year is produced. The quickly increasing demand of indium is affecting other important application fields of
the metal such as coating for aircraft parts, cryogenic and vacuum applications, opto-electrical devices, and fiber-optic
communication.
Other TCO solutions by using cathodic-arc deposition have been investigated with promising results. A filtered cathodic arc
equipped with a rectangular filter has been used to deposit SnO2 films. 100–200 nm thick films had an optical transmittance of
75–90% in the visible spectrum, and the conductivity was 50–90 mUm (39). The films were deposited on microscopic glass slides at
room temperature, and the film properties did not change significantly during an 8-month storage in ambient air.
Aluminum-doped ZnO (AZO) is one of the most likely candidates to replace ITO. The cathodic-arc deposition results of AZO
were discussed in Section 4.02.3.8.3.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
48

Table 4 Hard tribological coatings produced by commercial vacuum arc processes, obtained from commercial information distributed by the companies

Coating architecture
M ¼ monolayer,
ML ¼ multilayer, Coefficient of friction
NC ¼ nanocomposite, in dry sliding countermaterial, Maximum service
Composition G ¼ gradient Color Hardness GPa a ¼ Al2O3, s ¼ steel temperature  C Application field

TiN M Gold-yellow 23–25 0.4s 0.7a 600 Tools: cutting, punching, forming, plastic molds,
drilling; components in machines; plungers
of diesel injection pumps
TiAlN M, G, NC Gray 25–35 0.35s 0.4a 700–900 Tools: drilling, milling, reaming, turning,
dry machining, ML-interrupted cutting
AlCrN M Blue-gray 30–32 0.35 900–1100 Tools, end mill
TiCN M Gray 30 0.4 600 Milling, tapping, thread forming, punching,
Cathodic-Arc and Thermal-Evaporation Deposition

forming of ferritic and austenitic steels,


plastic injection molding
CrN M Metal-silver 15–25 0.5 700 Molds, dies, forming, components: valve
gear components, piston rings, hydraulic pistons,
textile machines, food-processing machines
ZrN M White-gold 20 0.4 550 Machining aluminum and titanium alloys

www.iran-mavad.com
TiSiN NC 35 0.4s 1500 Tools

‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬


CrTiN ML Metal-silver Up to 30 0.4 600 Tools: milling, molds, dies, machine parts,
similar to CrN but lower cost
TiN/TiAlN ML 25–35 0.4s
ta-C M Black * 40–70 0.05–0.15 500 Tools: cutting, forming, molds, cutting blades,
cutting of aluminum alloys; engine components:
hydraulic components, bearings, guides, sealing
surfaces, valve lifters, pistons and rings, valves,
gears, fuel injection
TiAlCN ML, G Violet 33 0.3 500 Tools: milling, hobbing, tapping, stamping, punching
AlTiSiN NC Violet-blue 40–45 0.45 1100–1200 Tools: milling, plunging, sawing, hobbing,
drilling, stamping, tapping, heat resistant for
high-performance machining
Cathodic-Arc and Thermal-Evaporation Deposition 49

Figure 49 Design of the new filtered-arc source for industrial usage. With permission of Arc Precision Inc. http://www.arcprecision.com/5503/4602.
html.

4.02.3.10.3 Decorative Coatings


Cathodic-arc deposition is an efficient method to produce nitride and carbon nitride films as described in Section 4.02.3.8. The
mechanical, adhesion, and corrosion properties of nitrides are excellent. When these properties are added to the ability to vary the
color of the coating, a strong method of producing decorative coatings is available.
The optical properties of surfaces are the result of visible light interacting with the surface. The appearance is determined by the
reflected light from the surface. Three important aspects are dominant: (1) the surface roughness, (2) the interference of reflected
waves in the case of transparent or slightly absorbing coatings, and (3) the absorbing properties of coatings having free charge
carriers. The surface roughness determines the shining or matte appearance of the surface requiring variation of topography often
achieved in combination of the substrate and coating roughness.
In the case of transparent films, color results from the constructive interference of light reflecting from the surface and the
coating–substrate interface. The color is dependent on the coating thickness, refractive index, and direction of the light. In the case of
a transparent coating of uniform thickness, an appearance of spectral colors of the coating depending of the view angle is obtained.
If the coating is nonuniform in thickness, Newton’s rings appear. Oxide and DLC coatings with control of coating thickness provide
interference colors (e.g., on a metal surface). When the coating is thicker, the absorption of light in the coating results eventually in

Table 5 Efficiency of droplet elimination in comparison to a commercial


state-of-the-art filter source

Number of particles > 0.1 mm


Arc source per nm film thickness, per m2

DC 1.2 $ 1010
Pulsed 7.5 $ 107
90 filtered 2.0 $ 105
120 filtered 1.5 $ 102

With permission of Arc Precision Inc. http://www.arcprecision.com/5503/4602.html.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
50 Cathodic-Arc and Thermal-Evaporation Deposition

Figure 50 Laser-ignited pulsed plasma system with an option for plasma filtering.

a black appearance. DLC films with mm range thickness are applied as decorative black coating on various products such as
wristwatches, jewelry, and mobile electronics.
The absorption of light is a physical phenomenon due to free charge carrier absorption, interband absorption, and absorption
due to impurities. In the case of metals, photons in a large spectrum range may easily be absorbed by electrons at the Fermi level.
The propagating electric field of the light is exponentially damped depending on the density of the free carriers that can absorb in the
visible spectrum. In the case of nitrides, free charge carrier concentration is lower than in most metals, and the surface shows
a colored appearance. The absorption of photons determines the color of the reflected light.

Figure 51 A schematic illustration of an arc deposition device with each arc source operated with an individual pulsed power supply. Reproduced from
Ramm, J., et al. Pulse Enhanced Electron Emission (P3e™) Arc Evaporation and the Synthesis of Wear Resistant Al–Cr–O Coatings in Corundum
Structure. Surf. Coat. Technol. 2007, 202 (4–7), 876–883. For details of the figure please see the source line reference.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
Cathodic-Arc and Thermal-Evaporation Deposition 51

Figure 52 Refractive index of various optical thin films deposited by cathodic arc at XCSIRO. Reproduced from Martin, P.; Bendavid, A. Review of the
Filtered Vacuum Arc Process and Materials Deposition. Thin Solid Films 2001, 394 (1), 1–14.

Figure 53 (a) Diagram of the optimized AR-coating design and (b) theoretical reflectance in the optical range with different Al-coating thickness values.
After Proc. SPIE 7045, 704508-7.

Hodroj et al. investigated the (Ti,Mg)N system by using a hybrid cathodic arc (Ti) and magnetron sputtering for an Mg target. By
varying the Ti/Mg composition, the color of the coating could be varied (64). The effect of the composition on conductivity r and
color are shown in Table 6. The color coordinates L*a*b* are as follows: L* is lightness (0 ¼ black, 100 ¼ white), a* is one
chromatic coordinate (100 ¼ green, þ100 ¼ red), and b* is the other chromatic coordinate (100 ¼ blue, þ100 ¼ yellow).
From Table 6, one can see that the color of the (Ti,Mg)N coatings changes depending on the Mg/Ti atomic ratio. When increasing
the ratio, colors from golden to copper, violet, and metallic gray are obtained.
In the Zr–C–N system of cathodic-arc deposited coatings, a change of color starting from low-carbon ZrN to a low-nitrogen-
composition ZrC is as follows: pale brass–brass–gold–bronze–copper–gray (112).
The descriptions of colors provided by some commercial coating companies are listed in Table 4 in Section 4.02.3.10.1.
The cathodic arc’s ability to deposit coatings at relatively low deposition temperatures compared to other PVD processes and
with better adhesion is an advantage in coating on polymeric and plastic surfaces. Thus, the bright metallic appearance on plastic
appliances is produced by cathodic arc either by applying electroplated intermediate coatings or by coating directly on polymers
Figure 54 (113).

4.02.3.10.4 Biological Applications


Carbon thin films have a market and vast potential in biological applications. Technology related to human health and life sciences
is a rapidly growing field allowing an important opportunity for materials science. R. Hauert has made a review on the application of
modified DLC coatings for biological applications (114). Biomaterial implantation into the human body reestablishes biological
and mechanical functions aiming to increase the quality of life. The main applications of DLC are related to blood-contacting
implants with proper bio-reactions and the use of DLC to reduce wear in load-bearing contacts.
Filtered cathodic-arc deposition has been investigated by the Tiainen and Lappalainen groups for artificial hip joints in order to
reduce wear and lower the coefficient of friction (115,116). By using a hip simulator with a ta-C-coated joint ball against ultrahigh
molecular weight polyethylene (UHMWPE), a reduction of the UHMWPE wear of a factor of 10–100 was measured compared to

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
52 Cathodic-Arc and Thermal-Evaporation Deposition

Table 6 L*a*b* color coordinates, and conductivity of (Ti,Mg)N coating as a function of Mg/Ti compositiona

Color coordinate values


IMg Mg/Ti A r
(A) Atomic ratio (nm) L* a* b* (mUcm)

0 0 0.4306 78.6 1.0 35.2 98


0.1 0.03 0.4294 78.4 0.0 23.3 106
0.3 0.09 0.4301 75.0 2.6 26.3 110
0.2 0.22 0.4314 65.5 11.8 25.9 175
0.6 0.24 0.4320 64.7 12.3 25.5 183
0.5 0.41 0.4327 55.7 11.3 3.9 338
0.7 0.48 0.4331 55.4 7.5 0.4 406
0.7 0.53 0.4337 56.7 1.8 1.5 457
a
Martin, P.; Bendavid, A. Review of the Filtered Vacuum Arc Process and Materials Deposition. Thin Solid Films 2001, 394 (1), 1–14.

Figure 54 Examples of decorative coatings produced by commercial coating companies. (a) Blue dial coated by Diarc Technology Oy (with permission,
copyright Sarpaneva Watches Oy). (b) Blue component inside a watch coated by Diarc Technology Oy (with permission, copyright Diarc Technology
Oy. http://www.diarc.fi/decorative.html). (c) Faucet components (photo courtesy and with permission of Hauzer Ltd).

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
Cathodic-Arc and Thermal-Evaporation Deposition 53

Figure 55 Difference between Ti and ta-C in their ability to resist bacterial adhesion. Circular areas of (a) titanium (b) and ta-C are patterned on silicon. The
microbial growth is observed under optical microscope. Reproduced from Myllymaa, K. Novel Carbon Coatings and Surface Texturing for Improving
Biological Response of Orthopedic Implant Materials. Dissertation, Department of Physics and Mathematics, University of Eastern Finland, Finland, 2010.

a noncoated steel ball. In the case of a metal/metal contact, the reduction of wear was by a factor of 105 when compared to the
noncoated surfaces. An increase in corrosion resistance of the metals was observed as well. The use of ta-C coatings for hip joints has
recently been reviewed by Alakoski et al. (117), who described the best potential of thick ta-C coatings against each other. Then, the
release of harmful wear particles of the polymer cup is avoided. However, there has been a very long delay in the implant-
manufacturing industry to adapt the results for clinical tests.
The biocompatibility of ta-C coatings has been tested experimentally by several groups. The hemo compatibility of filtered
cathodic-arc-coated ta-C was observed to exhibit significantly less platelet aggregation compared to the reference carbon material
(low-temperature isotropic pyrolytic carbon, or LTI). This was attributed to the differences in charge transfer properties between the
two materials (118).
The doping of DLC in general is the means to modify the biocompatibility of the films. Kwong et al. have doped ta-C with silver
by applying a dual cathode of C and Ag of a cylindrical symmetry in a filtered pulsed cathodic-arc device (119). The Ag concen-
tration on the surface was varied between 70 and 90 at.%. But silver was in the form of metallic inclusions in a sp3 carbon matrix.
A high platelet adhesion was observed while having antibacterial properties due to silver. The results suggest benefits for cardio-
vascular devices and implant applications.
Doping of ta-C with polymers has been developed by Anttila group. The pulsed cathodic arc is filtered by a curved solenoid. The
carbon plasma is transported through a polymeric tube, allowing elements from the polymer to dope the plasma and eventually the
growing film (120). The doping by polytetrafluoroethylene (PTFE) and polydimethylsiloxane (PDMS) was obtained. The surface
energy was significantly lowered, yielding a water contact angle of 112 K. Myllymaa has compared the biofilm formations by
incubation of Staphylococcus epidermidis bacterial suspensions on the surface. The ta-C with PTFE was shown to be resistant to biofilm
formation (121).
About two-thirds of the infections related to implants are caused by the Staphylococcus aureus or S. epidermidis bacteria. The
S. aureus adherence to silicon substrates coated with magnetron-sputtered films of tantalum, titanium, and chromium and pulsed
filtered arc deposited ta-C were compared. The three metals are typical in metal implants. Determined by the amount of adhered
bacteria to the different films, the ta-C was the most microbe-repellent of the materials tested. The results indicate a benefit of the
development of ta-C in preventing device-related infections. The amount of microbial coverage of the materials was roughly
as follows: Ti 23%, Ta 14%, Cr 1.4%, and ta-C 0.4%. A microscopic image of the difference in microbial growth is shown in
Figure 55 (121).

References

1. Mahan, J. E. Physical Vapor Deposition of Thin Films; John Wiley & Sons: New York, 2000.
2. http://www.cleanroom.byu.edu/metal.phtml.
3. http://www.cleanroom.byu.edu/TFE_materials.phtml.
4. Kukla, R.; Ludwig, R.; Meinel, J. Overview on Modern Vacuum Web Coating Technology. Surf. Coat. Technol. 1996, 86–87, 753–761.
5. Ludwig, R.; Josephson, L. Clear Barriers and High Volume Productivity, Applied Materials Ltd. Polymers Laminations, Adhesives Coatings Extrusions PLACE 2006 Conference,
September 17–21, 2006, Cincinnati, Ohio http://www.tappi.org/content/enewsletters/eplace/2006/06PLA32.pdf.
6. Ludwig, R.; Kukla, R.; Josephson, E. Vacuum Web Coating – State of the Art and Potential for Electronics. Proc. IEEE 2005, 93 (8), 1483–1490.
7. http://www.leyboldoptics.com/optics/packaging/machines/pro-m/pro-m.html.
8. NAVAIR Public Release 2012-119 Distribution Statement A.
9. Zhang, L., et al. Structural, Optical and Electrical Properties of Low-Temperature Deposition Cu(InxGa1x)Se2 Thin Films. Sol. Energy Mater. Sol. Cells 2012, 99(C), 356–361.
10. Repins, I., et al. Co-Evaporated Cu2ZnSnSe4 Films and Devices. Sol. Energy Mater. Sol. Cells 2012, 101(C), 154–159.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
54 Cathodic-Arc and Thermal-Evaporation Deposition

11. Vacuum Process Technology, LLC, http://www.vptec.com/products_deposition.htm.


12. Grytsenko, K. P.; Schrader, S. Nanoclusters in Polymer Matrices Prepared by Co-Deposition from a Gas Phase. Adv. Colloid Interface Sci. 2005, 116 (1–3), 263–276.
13. Gritsenko, K. P.; Krasovsky, A. M. Chem. Rev. 2003, 103 (9), 3607.
14. Kovacik, P., et al. Effect of Side GROUPS on the Vacuum Thermal Evaporation of Polythiophenes for Organic Electronics. Org. Electron. 2012, 13 (4), 687–696.
15. Goedicke, K.; Scheffel, B.; Schiller, S. Plasma-Activated High Rate Electron Beam Evaporation Using a Spotless Cathodic Arc. Surf. Coat. Technol. 1994, 68, 799–803.
16. Scheffel, B.; Modes, T.; Metzner, C. Spotless Arc Activated High-Rate Deposition Using Novel Dual Crucible Technology for Titanium Dioxide Coatings. In Extended Abstract
14th International Conference on Plasma Surface Engineering, September 10–14, 2012, in Garmisch-Partenkirchen, Germany.
17. Anders, A. Cathodic Arcs: From Fractal Spots to Energetic Condensations In Atomic, Optical, and Plasma Physics; Springer: New York, 2010. Vol. 50.
18. Anders, A. A Structure Zone Diagram Including Plasma-Based Deposition and Ion Etching. Thin Solid Films 2010, 518 (15), 4087–4090.
19. Timko, H. Modelling Vacuum Arcs: From Plasma Initiation to Surface Interactions. Report Series in Physics HU-P-D188, Theses, 2011.
20. Schülke, T.; Anders, A.; Siemroth, P. Macroparticle Filtering of High-Current Vacuum Arc Plasmas. IEEE Trans. Plasma Sci. 1997, 25 (4), 660–664.
21. Ehiasarian, A. P., et al. Influence of Steering Magnetic Field on the Time-Resolved Plasma Chemistry in Cathodic Arc Discharges. J. Phys. D Appl. Phys. 2004, 37 (15),
2101–2106.
22. Anders, S., et al. On the Macroparticle Flux from Vacuum Arc Cathode Spots. IEEE Trans. Plasma Sci. 1993, 21 (5), 440–446.
23. Hantzsche, E. Two-Dimensional Models of Expanding Vacuum Arc Plasmas. IEEE Trans. Plasma Sci. 1995, 23 (6), 893–898.
24. Byon, E.; Anders, A. Ion Energy Distribution Functions of Vacuum Arc Plasmas. J. Appl. Phys. 2003, 93 (4), 1899.
25. Anders, A. The Evolution of Ion Charge States in Cathodic Vacuum Arc Plasmas: A Review. Plasma Sources Sci. Technol. 2012, 21 (3), 035014.
26. Snaper, A. A. Arc Deposition Process and Apparatus. US Patent 3,625,848, 1971.
27. Ramalingam et al. Control of Vacuum Arc Material Operation, Method and Apparatus. US Patent, 4,673,477, 1987.
28. Vergasol, G. E. Electric Arc Vapor Deposition Device. US Patent, 5,037,522, 1991.
29. Anders, A.; Pasaja, N.; Sansongsiri, S. Filtered Cathodic Arc Deposition with Ion-Species-Selective Bias. Rev. Sci. Instrum. 2007, 78 (6), 063901.
30. Schneider, J. M., et al. Hydrogen Uptake in Alumina Thin Films Synthesized from an Aluminum Plasma Stream in an Oxygen Ambient. Appl. Phys. Lett. 1999, 74 (2), 200.
31. Ratayski, U., et al. Effect of the Carbon Ion Energy on the Microstructure of Ta-C/Cr Multilayers. Surf. Coat. Technol. 2011, 206 (7), 1753–1758.
32. Gorokhovsky, V. I.; Bhattacharya, R.; Bhat, D. G. Characterization of Large Area Filtered Arc Deposition Technology: Part I – Plasma Processing Parameters. Surf. Coat.
Technol. 2001, 140 (2), 82–92.
33. Drescher, D., et al. A Model for Particle Growth in Arc Deposited Amorphous Carbon Films. Diam. Relat. Mater. 1998, 7 (9), 1375–1380.
34. Hayess, E., et al. Measurements of the Behaviour of Neutral Atom Density in a Diffuse Vacuum Arc by Laser-Induced Fluorescence (LIF). IEEE Trans. Plasma Sci. 1989, 17 (5),
666–671.
35. Takikawa, H.; Tanoue, H. Review of Cathodic Arc Deposition for Preparing Droplet-Free Thin Films. IEEE Trans. Plasma Sci. 2007, 35 (4), 992–999.
36. Aksenov, I. I.; Bolous, V. A.; Padalka, V. G.; Khoroshikh, V. M. Instrum. Exp. Tech 1978, 21, 1416–1418.
37. Anders, A. Approaches to Rid Cathodic Arc Plasmas of Macro- and Nanoparticles: A Review. Surf. Coat. Technol. 1999, 120, 319–330.
38. Paperny, V. L., et al. Mass Separation of a Multi-Component Plasma Flow Travelling through a Magnetic Transport System. Plasma Sources Sci. Technol. 2011, 20 (3),
035005.
39. Zhitomirsky, V. N., et al. Properties of SnO2 Films Fabricated Using a Rectangular Filtered Vacuum Arc Plasma Source. Thin Solid Films 2008, 516 (15), 5079–5086.
40. Aksyonov, D.; et al. 2010. Deposition of Ti-Al-N Coatings Using Two-Channel T-Shaped Magnetic Filter. In 24th International Symposium on Discharges and Electrical
Insulation in Vacuum (ISDEIV), pp 494–496.
41. Zavaleyev, V.; Walkowicz, J. Application of the Taguchi Approach of the Design of Experiments for Determination Constructional and Working Parameters of the Linear
Venetian Blind Microdroplet Filter. Vacuum 2012, 86 (9), 1248–1254.
42. Anttila, A.; Hirvonen, J. -P.; Koskinen, J. Procedure and Apparatus for the Coating of Materials by Means of a Pulsating Plasma Beam. US5078848 (A), 1992-01-07.
43. Anders, A., et al. Ultrathin Diamond-Like Carbon Films Deposited by Filtered Carbon Vacuum Arcs. IEEE Trans. Plasma Sci. 2001, 29 (5), 768–775.
44. Anders, A.; Kulkarni, A. V. Materials Research Society Synthesis of Ultrathin Ta-C Films by Twist-Filtered Cathodic Arc Carbon Plasmas; Materials Reseach Society:
Warrendale, PA, 2001; p 675.
45. Chekh, Y.; Zhirkov, I. S.; Delplancke-Ogletree, M. P. Simple Filtered Repetitively Pulsed Vacuum Arc Plasma Source. Rev. Sci. Instrum. 2010, 81 (2), 023506.
46. Aijaz, A., et al. A Strategy for Increased Carbon Ionization in Magnetron Sputtering Discharges. Diam. Relat. Mater. 2012, 23(C), 1–4.
47. Fallon, P. J.; Veerasamy, V. S.; Davis, C. A.; Robertson, J.; Amaratunga, G. A. J.; Milne, W. I.; Koskinen, J. Properties of Filtered-ion-beam-deposited Diamondlike Carbon as
a Function of Ion Energy. Phys. Rev. B 1993, 48, 4777.
48. Koskinen, J.; Hirvonen, J.-P.; Keränen, J. Effect of Deposition Temperature and Growth Rate on the Bond Structure of Hydrogen Free Carbon Film. J. Appl. Phys. 1998, 84 (1),
648–650.
49. Bilek, M. M. M.; McKenzie, D. R. A Comprehensive Model of Stress Generation and Relief Processes in Thin Films Deposited with Energetic Ions. Surf. Coat. Technol. 2006,
200 (14–15), 4345–4354.
50. Charitidis, C. A. Nanomechanical and Nanotribological Properties of Carbon-based Thin Films: A Review. Int. J. Refract. Met. Hard Mater. 2010, 28, 51–70.
51. Casiraghi, C.; Robertson, J.; Ferrari, A. C. Diamond-Like Carbon for Data and Beer Storage. Mater. Today 2007, 10 (1–2), 44–53.
52. McCulloch, D., et al. Mechanisms for the Behavior of Carbon Films during Annealing. Phys. Rev. B 2004, 70 (8), 085406.
53. Chhowalla, M., et al. Boronated Tetrahedral Amorphous Carbon (Ta-C: B). Diam. Relat. Mater. 1997, 6 (2), 207–211.
54. Alakoski, E., et al. Adhesion and Quality Test for Tetrahedral Amorphous Carbon Coating Process. Diam. Relat. Mater. 2003, 12 (12), 2115–2118.
55. Chhowalla, M. Thick, Well-Adhered, Highly Stressed Tetrahedral Amorphous Carbon. Diam. Relat. Mater. 2001, 10 (3), 1011–1016.
56. Anders, A. Metal Plasma Immersion Ion Implantation and Deposition: A Review. Surf. Coat. Technol. 1997, 93 (2), 158–167.
57. Hakovirta, M., et al. Graphite Particles in the Diamond-Like a-C Films Prepared with the Pulsed Arc-Discharge Method. Diam. Relat. Mater. 1995, 4 (12), 1335–1339.
58. Cheng, Y. H., et al. Internal Stresses in TiN/Ti Multilayer Coatings Deposited by Large Area Filtered Arc Deposition. J. Appl. Phys. 2008, 104 (9), 093502.
59. Weng, K.-W.; Lin, T.-N.; Wang, D.-Y. Tribological Property Enhancement of CRN Films by Metal Vapor Vacuum Arc Implantation of Vanadium and Carbon Ions. Thin Solid
Films 2008, 516 (6), 1012–1019.
60. Stueber, M., et al. Concepts for the Design of Advanced Nanoscale PVD Multilayer Protective Thin Films. J. Alloy. Compd 2009, 483 (1–2), 321–333.
61. LIU, X., et al. Developing TiAlN Coatings for Intermediate Temperature Solid Oxide Fuel Cell Interconnect Applications. Int. J. Hydrogen Energ. 2008, 33 (1), 189–196.
62. Xie, Z. H., et al. Deformation Mechanisms of TiN Multilayer Coatings Alternated by Ductile or Stiff Interlayers. Acta Mater. 2008, 56 (4), 852–861.
63. Cheng, Y. H., et al. Mechanical and Tribological Properties of Nanocomposite TiSiN Coatings. Surf. Coat. Technol. 2010, 204 (14), 2123–2129.
64. Hodroj, A., et al. Oxidation Resistance of Decorative (Ti, Mg)N Coatings Deposited by Hybrid Cathodic Arc Evaporation-Magnetron Sputtering Process. Surf. Coat. Technol.
2011, 205 (19), 4547–4553.
65. Neidhardt, J., et al. Wear-Resistant Ti–B–N Nanocomposite Coatings Synthesized by Reactive Cathodic Arc Evaporation. Int. J. Refract. Met. Hard Mater. 2010, 28 (1), 23–31.
66. Look, D. C.; Hemsky, J. W.; Sizelove, J. R. Residual Native Shallow Donor in ZnO. Phys. Rev. Lett. 1999, 82, 2552.
67. Matsumoto, Y.; Hirata, G. A.; Takakura, H.; Okamoto, H.; Hamakawa, Y. A New Type of High Efficiency with a Low-cost Solar Cell Having the Structure of a mc-SiC/
polycrystalline Silicon Heterojunction. J. Appl. Phys. 1990, 67, 6538.
68. Lampe, U.; Muller, J. Thin-Film Oxygen Sensors Made of Reactively Sputtered ZnO. Sens. Actuators 1989, 18, 269.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
Cathodic-Arc and Thermal-Evaporation Deposition 55

69. Li, C., et al. Research on the Properties of ZnO Thin Films Deposited by Using Filtered Cathodic Arc Plasma Technique on Glass Substrate under Different Flow Rate of O2.
Appl. Surf. Sci. 2007, 253 (8), 4000–4005.
70. Çetinörgü, E.; Goldsmith, S.; Boxman, R. L. The Effect of Annealing on Filtered Vacuum Arc Deposited ZnO Thin Films. Surf. Coat. Technol. 2007, 201 (16–17), 7266–7272.
71. Kavak, H., et al. Optical and Photoconductivity Properties of ZnO Thin Films Grown by Pulsed Filtered Cathodic Vacuum Arc Deposition. Vacuum 2008, 83 (3), 540–543.
72. Mendelsberg, R. J., et al. Achieving High Mobility ZnO:Al at Very High Growth Rates by dc Filtered Cathodic Arc Deposition. J. Phys. D Appl. Phys. 2011, 44 (23),
232003.
73. Gao, F., et al. Preparation of High Transmittance ZnO: Al Film by Pulsed Filtered Cathodic Arc Technology and Rapid Thermal Annealing. Appl. Surf. Sci. 2011, 257 (15),
7019–7022.
74. Zukerman, I., et al. Vacuum Arc Deposition of Al2O3–ZrO2 Coatings: Arc Behavior and Coating Characteristics. J. Mater. Sci. 2010, 45 (23), 6379–6388.
75. Tsukazaki, A.; Ohtomo, A.; Onuma, T.; Ohtani, M.; Makino, T.; Sumiya, M.; Ohtani, K.; Chichibu, S. F.; Fuke, S.; Segawa, Y.; Ohno, H.; Koinuma, H.; Kawasaki, M. Nat.
Mater. 2005, 4, 42.
76. Yuen, C., et al. Room Temperature Deposition of p-Type Arsenic Doped ZnO Polycrystalline Films by Laser-Assist Filtered Cathodic Vacuum Arc Technique. J. Appl. Phys.
2007, 101 (9), 094905.
77. Diebold, U. The Surface Science of Titanium Dioxide. Surf. Sci. Rep. 2003, 48, 53.
78. Bendavid, A.; Martin, P. J.; Takikawa, H. Deposition and Modification of Titanium Dioxide Thin Films by Filtered Arc Deposition. Thin Solid Films 2000, 360, 241.
79. Bendavid, A.; Martin, P. J.; Preston, E. W. The Effect of Pulsed Direct Current Substrate Bias on the Properties of Titanium Dioxide Thin Films Deposited by Filtered
Cathodic Vacuum Arc Deposition. Thin Solid Films 2008, 517 (2), 494–499.
80. Kleiman, A.; Márquez, A.; Lamas, D. G. Anatase TiO2 Films Obtained by Cathodic Arc Deposition. Surf. Coat. Technol. 2007, 201 (14), 6358–6362.
81. Díaz, B., et al. Chromium and Tantalum Oxide Nanocoatings Prepared by Filtered Cathodic Arc Deposition for Corrosion Protection of Carbon Steel. Surf. Coat. Technol.
2012, 206, 1–32.
82. Levchuk, D., et al. Erbium Oxide as a New Promising Tritium Permeation Barrier. J. Nuclear Mater. 2007, 367–370, 1033–1037.
83. Chikada, T., et al. Deuterium Permeation Behavior of Erbium Oxide Coating on Austenitic, Ferritic, and Ferritic/Martensitic Steels. Fusion Eng. Des. 2009, 84 (2–6),
590–592.
84. Ramm, J., et al. Pulse Enhanced Electron Emission (P3e™) Arc Evaporation and the Synthesis of Wear Resistant Al–Cr–O Coatings in Corundum Structure. Surf. Coat.
Technol. 2007, 202 (4–7), 876–883.
85. Byon, E.; Oates, T. W. H.; Anders, A. Coalescence of Nanometer Silver Islands on Oxides Grown by Filtered Cathodic Arc Deposition. Appl. Phys. Lett. 2003,
82 (10), 1634.
86. Soin, N., et al. Thickness Dependent Electronic Structure of Ultra-Thin Tetrahedral Amorphous Carbon (Ta-C) Films. Thin Solid Films 2012, 520 (7), 2909–2915.
87. Schneider, D., et al. Testing Ultra-Thin Films by Laser-Acoustics. Surf. Coat. Technol. 2000, 126 (2), 136–141.
88. Wang, G.-G., et al. Silicon Nitride Gradient Film as the Underlayer of Ultra-Thin Tetrahedral Amorphous Carbon Overcoat for Magnetic Recording Slider. Mater. Chem.
Phys. 2011, 131 (1–2), 127–131.
89. Gorokhovsky, V. I., et al. Characterization of Large Area Filtered Arc Deposition Technology: Part II – Coating Properties and Applications. Surf. Coat. Technol. 2001,
140 (3), 215–224.
90. Lee, H. Y., et al. Characterization of WC–CrAlN Heterostructures Obtained Using a Cathodic Arc Ion Plating Process. Surf. Coat. Technol. 2003, 174–175, 303–309.
91. Wang, G.-G., et al. The Preparation and Evaluation of Graded Multilayer Ta-C films Deposited by FCVA Method. Appl. Surf. Sci. 2011, 257 (11), 5064–5069.
92. Eklund, P.; Beckers, M.; Jansson, U.; Högberg, H.; Hultman, L. The Mnþ1AXn Phases: Materials Science and Thin-Film Processing. Thin Solid Films 2010, 518 (8), 1851–1878.
93. Guenette, M. C., et al. Cathodic Arc Co-Deposition of Highly Oriented Hexagonal Ti and Ti2AlC MAX Phase Thin Films. Thin Solid Films 2010, 519 (2), 766–769.
94. http://www.osti.gov/bridge/purl.cover.jsp?purl¼/810482-HCSxLp/810482.pdf.
95. Holmberg, K.; Matthews, A. Coatings Tribology; In Tribology and Interface Engineering Series, 2nd ed. Elsevier: Amsterdam, 2009; Vol. 6.
96. Holmberg, K.; Andersson, P.; Erdemir, A. Global Energy Consumption due to Friction in Passenger Cars. Tribiol. Int. 2012, 47(C), 221–234.
97. Hsu, C.-H.; Lee, C.-C.; Ho, W.-Y. Filter Effects on the Wear and Corrosion Behaviors of Arc Deposited (Ti, Al)N Coatings for Application on Cold-Work Tool Steel. Thin Solid Films
2008, 516 (15), 4826–4832.
98. Mo, J. L.; Zhu, M. H. Tribological Characterization of Chromium Nitride Coating Deposited by Filtered Cathodic Vacuum Arc. Appl. Surf. Sci. 2009, 255 (17), 7627–7634.
99. Musil, J.; Jirout, M. Toughness of Hard Nanostructured Ceramic Thin Films. Surf. Coat. Technol. 2007, 201 (9–11), 5148–5152.
100. Gorokovsky, V. I., et al. Deposition and Characterization of Hybrid Filtered Arc/Magnetron Multilayer Nanocomposite Cermet Coatings for Advanced Tribological Applications. Wear
2008, 265 (5–6), 741–755.
101. Zimmer, O.; Kaulfuß, F. PO4046-Hard Coatings with High Film Thickness Prepared by PVD. Plasma Process. Polym. 2009, 6 (S1), S152–S156.
102. Erdemir, A.; Donnet, C. Tribology of Diamond-Like Carbon Films: Recent Progress and Future Prospects. J. Phys. D Appl. Phys. 2006, 39 (18), R311–R327.
103. Kano, M. Super Low Friction of DLC Applied to Engine Cam Follower Lubricated with Ester-Containing Oil. Tribol. Int. 2006, 39 (12), 1682–1685.
104. Minami, I., et al.., STLE Memb. Investigation of Tribo-Chemistry by Means of Stable Isotopic Tracers, Part 2: Lubrication Mechanism of Friction Modifiers on Diamond-Like
Carbon. Tribol. Trans. 2007, 50 (4), 477–487.
105. Martin, J.-M., et al. Gas-Phase Lubrication of Ta-C by Glycerol and Hydrogen Peroxide. Experimental and Computer Modeling. J. Phys. Chem. C 2010, 114 (11), 5003–5011.
106. Koskinen, J.; Tapper, U.; Andersson, P.; Varjus, S.; Kolehmainen, J.; Tervakangas, S.; Buss, W. Friction Reduction by Texturing of DLC Coatings Sliding against Steel under Oil
Lubrication. Surf. Coat. Technol. 2010, 204, 3794–3797.
107. http://www.arcprecision.com/5503/4602.html.
108. http://www.iws.fraunhofer.de/en/business_fields/pvd_nanotechnology/carbon_coatings/technologies/filter-laser-arc.html.
109. Martin, P.; Bendavid, A. Review of the Filtered Vacuum Arc Process and Materials Deposition. Thin Solid Films 2001, 394 (1), 1–14.
110. Proc. SPIE 7045, 704508-7.
111. Bilus Abaffy, N.; Partridge, J. G.; McCulloch, D. G. Proceedings of SPIE. In Photovoltaic Cell and Module Technologies II; SPIE, pp 704508–704508–10.
112. Eerden, M.; van Ijzendoorn, W.;Tietema, R.; van der Kolk, G. J. A Systematic Study of the Properties of the Zr–C–N Ternary System, Deposited by Reactive Arc Evaporation. 48th
Annual Technical Conference, Society of Vacuum Coaters, San Francisco, CA, 2003; pp 56–60.
113. http://www.diarc.fi/decorative.html.
114. Hauert, R. A Review of Modified DLC Coatings for Biological Applications. Diam. Relat. Mater. 2003, 12 (3–7), 583–589.
115. Tiainen, V. M. Amorphous Carbon as a Bio-Mechanical Coating – Mechanical Properties and Biological Applications. Diam. Relat. Mater. 2001, 10 (2), 153–160.
116. Lappalainen, R., et al. Some Relevant Issues Related to the Use of Amorphous Diamond Coatings for Medical Applications. Diam. Relat. Mater. 1998, 7 (2), 482–485.
117. Alakoski, E., et al. Load-Bearing Biomedical Applications of Diamond-Like Carbon Coatings: Current Status. Open Orthop. J. 2008, 2, 43.
118. Yu, L., et al. Haemocompatibility of Tetrahedral Amorphous Carbon Films. Surf. Coat. Technol. 2000, 128, 484–488.
119. Kwok, S. C. H., et al. Hemocompatibility and Anti-Bacterial Properties of Silver Doped Diamond-Like Carbon Prepared by Pulsed Filtered Cathodic Vacuum Arc Deposition. Diam.
Relat. Mater. 2007, 16 (4–7), 1353–1360.
120. Anttila, A., et al. Preparation of Diamond-Like Carbon Polymer Hybrid Films Using Filtered Pulsed Arc Discharge Method. Surf. Eng. December 2003, 19 (6), 425–428.
121. Myllymaa, K. Novel Carbon Coatings and Surface Texturing for Improving Biological Response of Orthopedic Implant Materials. Dissertation, Department of Physics and
Mathematics, University of Eastern Finland, Finland, 2010.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
This page intentionally left blank

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
4.03 Magnetron Sputtering
G Bräuer, Fraunhofer-Institut für Schicht- und Oberflächentechnik IST, Braunschweig, Germany
 2014 Elsevier Ltd. All rights reserved.

4.03.1 The Basics of Sputtering 57


4.03.2 Sputtering from Planar Diodes 59
4.03.3 Radio-Frequency Sputtering 60
4.03.4 The Magnetron Cathode 61
4.03.5 High Target Utilization 65
4.03.6 Reactive Sputtering 66
4.03.7 Pulse Magnetron Sputtering 69
4.03.8 Special Developments 71
4.03.8.1 The Unbalanced Magnetron 71
4.03.8.2 Sputter Yield Amplification and Serial Cosputtering 72
4.03.8.3 Hot Target Sputtering 72
4.03.9 Concluding Remarks and Outlook 73
References 73

4.03.1 The Basics of Sputtering

If an energetic ion hits the surface of a solid (target), the following effects may occur:
l Sputtering
l Emission of electrons (secondary electron emission)
l Ion implantation
l Ion reflection
l Lattice vibrations (heat generation)

In a thin-film deposition process, sputtering and secondary electron emission are the essential processes (Figure 1). Ejected
atoms form the thin film, and electrons are needed to create new ions by collisions in the negative glow of the plasma. The most
important quantity describing the sputter process is the sputter yield Y given by
Y ¼ Average number of emitted particles=Number of incident ions [1]

Figure 1 The principle of sputtering.

Comprehensive Materials Processing, Volume 4 http://dx.doi.org/10.1016/B978-0-08-096532-1.00403-9 57


www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
58 Magnetron Sputtering

The first model for the sputtering process was published by Sigmund in 1969 (1).
According to Figure 2, an ion with a mass m1 hits a surface of an amorphous solid (mass m2) with an energy E0 at an incident
angle Q. The energy loss within the solid may be described by
ðdE0 =dxÞtot ¼ ðdE0 =dxÞn þ ðdE0 =dxÞe [2]

where (dE0/dx)n is the part that results in sputtered atoms, while (dE0/dx)e is the part that results in secondary electrons.
The energy transfer to the target atoms will generate more high-energy collision products and a collision cascade is formed in
a certain target volume close to the surface. A typical collision cascade is several tens of nanometers in length and contains around
1000 atoms. Emitted particles have gained enough energy to overcome surface binding forces. Approximately 99% of them leave the
target as neutrals.
According to Sigmund, the sputter yield in a wide range of ion energies is given by

YðE0 ; m1 ; m2 ; QÞ ¼ aðm2 =m1 Þ$Sn ðE0 Þ$U01 $ðcos QÞf ðm2 =m1 Þ [3]

where Sn ðE0 Þ ¼ ðdE0 =dxÞn =N [4]

N is the number of target atoms per volume, Sn(E0) is called ‘nuclear stopping power,’ and U0 is the surface binding energy (heat of
sublimation).
Figure 3 shows the principal behavior of Y as a function of ion energy. A certain threshold energy in the range of 50 eV is
necessary to generate a collision cascade. Up to 5–10 keV, Y increases linearly with E0. For E0 > 10 keV, a decrease is
observed due to ion implantation. For thin-film deposition by sputtering, the discharge voltages range from 200 V (low-
voltage magnetron) to a few thousand volts (diode), meaning that one is always working in a regime governed by a linear
increase of Y.

Figure 2 An ion hits a surface.

Figure 3 Qualitative dependence of sputter yield Y on ion energy.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
Magnetron Sputtering 59

Figure 4 Energy distributions of sputtered nickel atoms. Reproduced from Hippler, R.; Kersten, H.; Schmidt, M.; Schoenbach, K.-H. Low Temperature
Plasmas; Wiley-VCH, 2008. ISBN: 978-3-572-40673-9.

From eqn [3], it is quite clear that Y w 1/U0. Values for the heat of sublimation are in the range of several electronvolts. Materials
with high values are C (7.36 eV) and W (8.66 eV), thus these are difficult to sputter. Zn has a very low U0 (1.34 eV).
The bombarding ions are in general formed from an inert gas. Since the sputter yield strongly increases with the mass number of
the ion, Ne is not very effective. Ar is a good compromise in particular for industrial coating systems, since Kr and Xe are too
expensive. The sputter yield increases with the angle of incidence Q up to an angle of approximately 60 . A rough estimation in the
range from perpendicular incidence (Y0) and 60 may be done by
YðQÞ ¼ Y0 =cos Q [5]
However, the exact shape depends on parameters such as target mass m1 and ion energy E0. For glazing incidence, a steep
decrease of Y is observed due to reflection of the ions at the target surface.
The angular distribution of sputtered particles is given by
NðFÞ ¼ N0 $cosn F [6]
where F is the emission angle.
Depending on the various parameters, n varies between 1 and 2. The distribution function indicates that independent of the
angle of ion incidence Q most of the ejected atoms follow the target normal.
Of particular interest with respect to the film growth mechanisms is the energy of sputtered particles. The first significant results
were published by Thompson (2) who found that the energy distribution dY/dE of sputtered particles is independent of the energy
E0 of the incident ion. It shows the characteristic behavior of a Maxwell distribution with a maximum at U0/2 (see also Figure 4 (3)).
We can conclude that sputtered atoms in general have an energy of some electronvolts, which is approximately 10 times higher than
in case of evaporated particles. This is the reason why sputtered films exhibit a better quality than evaporated films (if the evap-
oration is done without additional plasma support).
It should be pointed out that the sputter yield is independent of target temperature as far as the latter is kept well below the melting
point (for sputtering with hot targets see Section 4.03.8.3). It should further be noted that 85% of the incident ion energy is converted to
heat, thus sputter targets have to be effectively cooled. Only approximately 2% of the incident energy is used to create sputtered particles.

4.03.2 Sputtering from Planar Diodes

Figure 5 outlines a setup for diode sputtering. The substrates to be coated are placed on an anode (if they are conductive, they may
act as anodes themselves). Often the anode is represented by the complete vacuum chamber. The cathode is surrounded by
a grounded dark space shield in order to avoid additional plasma discharges on the rear surface of the cathode. A sputter diode is
operated at a typical pressure of 5 $ 102 mbar to 101 mbar, the discharge voltage is in the range of 1000–3000 V, and the discharge
current is of the order of magnitude of 1 mA cm2. It is unnecessary to say that only conductive materials can be sputtered when
applying DC voltage (for sputtering of insulating targets see Section 4.03.3). Circular (100–500 mm) as well as rectangular target
shapes may be used. The target-to-substrate distance (TSD) is 50–150 mm.
The efficiency of a deposition process is described by the deposition rate
S ¼ d=t [7]

where d is the film thickness and t is the deposition time.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
60 Magnetron Sputtering

Figure 5 Principal setup of a diode sputter system.

The unit of S is nm s1 or mm h1. Typical deposition rates for diode sputtering of metals are 0.5–1 nm s1.
It is obvious that S w Y and S w P ¼ U$I (P is the power delivered to the target, U is the discharge voltage, and I is the discharge
current). S also depends on the total pressure and the TSD (scattering of sputtered particles).
Diode sputtering suffers from some severe limitations. Due to the poor deposition rates the productivity is very low. Because of
bombardment with energetic electrons, there is a high thermal load to the substrate making the coating of plastic materials such as
polycarbonate nearly impossible. At a pressure of 101 mbar, the mean free path of sputtered particles is around 0.5 mm. On their
way to the substrate, they will lose substantial energy due to many collisions.
Due to these drawbacks nowadays diode sputtering is applied only in some special cases.

4.03.3 Radio-Frequency Sputtering

If a sputter system with an insulating target is powered by DC voltage, it will act like a capacitor. According to Figure 6, the charge of
the positive ions impinging on the nonconductive surface cannot be compensated by electrons from the power supply. The surface
will charge up, and the bombardment by further ions is suppressed. The sputter process will stop.

Figure 6 The application of DC voltage to an insulating target.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
Magnetron Sputtering 61

Figure 7 The principle of RF sputtering.

Figure 8 The formation of target voltage in an RF sputter process.

It is well known from electrodynamics that alternating current can be driven through a capacitor if the frequency is high enough.
Figure 7 shows the principle of sputtering using radio frequency (RF) at 13.56 MHz. At such frequencies, the heavy ions are not able
to follow the fast changes of polarity. Due to reasons explained below, the surface areas of target electrode and substrate electrode
are different (often one is talking about an ‘asymmetric RF discharge’). Since the mobility of the electrons is excellent, they can
follow the field changes without any delay. Consequently, a DC bias will develop in particularly on the target electrode if the target
material is insulating (for conductive targets, the coupling to the RF power supply has to be accomplished by a blocking capacitor).
It should be mentioned that a DC bias may also develop on an insulating substrate electrode. However, based on the equation

UT =US ¼ ðAS =AT Þ4 [8]


where
UT is the DC bias at target electrode
US is the DC bias at substrate electrode
AT is the surface area of target electrode
AS is the surface area of substrate electrode
The DC bias at the substrate electrode will be very small if AS >> AT (which is the case for real sputter systems).
Figure 8 shows the superposition of RF voltage and DC bias. Based on the DC voltage, the ions will be attracted to the surface of
the insulator making sputtering on nonconductive compounds such as ceramics possible.

4.03.4 The Magnetron Cathode

The invention of the planar magnetron cathode by Chapin in 1974 (patent issued in 1979) marked a new era in vacuum
coating technology. Magnetron sputtering has become the most important technology for the deposition of thin films when

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
62 Magnetron Sputtering

excellent performance is required. Today, it is one of the key processes for manufacturing of innovative products such as all
kinds of disks for data storage and entertainment, flat displays, smart windows, or thin-film solar cells. The magnetron cathode
combines the advantages of economic deposition even on large areas and the ability to coat very temperature-sensitive plastic
substrates.
The planar magnetron consists of a diode equipped with an additional inhomogeneous magnetic field as shown in Figure 9. The
field is generated by permanent magnets (e.g., NdFeB) that are mounted on a ferromagnetic yoke. Electrons are trapped by the
Lorentz force K ¼ e (v  B), which reaches a maximum in the area where Bx (the component parallel to the target surface) is large. It
decreases toward the center and the outer areas of the target surface (Bjjv). The trajectories of electrons are obtained by solving the
differential equation
me $dn=dt ¼ e$E þ eðn  BÞ [9]
According to Figure 10, the electrons form a ring-shaped current on the target surface called the ‘race track.’ Due to the trapping
of the electrons in the race track area, the formation of ions is substantially enhanced, resulting in a remarkable drop of target voltage
(lower plasma impedance) and a jump in deposition rate by a factor of 10–20. The process pressure can be reduced by at least one
order of magnitude thus leading to an increase of the mean free path. Figure 11 shows I–U characteristics for Al sputtered from
a diode and a magnetron. Deposition rates for some target materials as function of power density are given in Figure 12. Planar
magnetrons can be realized with circular or rectangular shapes. An inherent drawback is the nonuniform erosion (causing
a nonuniform layer thickness distribution), which is caused by the nonuniform ion current density. In particular, this holds if the
substrate is resting below the magnetron during deposition. Large plates (such as glass panes) or foils can be arranged to pass
rectangular magnetrons at constant speed, in these cases, uniformity problems can be easily eliminated.
Figures 13–15 show magnetron cathodes used in industrial coaters.
In conclusion, the main advantages of magnetron sputtering are as follows:
l Low plasma impedance and thus high discharge currents from 1 to 100 A (depending on cathode length) at typical voltages
around 500 V
l Deposition rates in the range from 1 to 10 nm s1
l Low thermal load to the substrate
l Coating uniformity in the range of a few percent even for several meters long cathodes

Figure 9 Principle of a planar magnetron.

Figure 10 Magnetic field and electron trajectories (schematic).

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
Magnetron Sputtering 63

Figure 11 U –I characteristic for diode and magnetron.

Figure 12 Deposition rates for magnetron sputtering of different metals as function of power.

l Easy to scale up
l Dense and well-adherent coatings
l Large variety of film materials available (nearly all metals and compounds)
l Broadly tunable film properties

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
64 Magnetron Sputtering

Figure 13 Scheme of a planar magnetron cathode. Source: LEYBOLD.

Figure 14 3.75-m-long magnetron for architectural glass coating. Source: LEYBOLD.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
Magnetron Sputtering 65

Figure 15 Magnetron cathodes in a machine for hard coatings. Source: Fraunhofer IST.

Some essential problems accompanying magnetron sputtering have been solved through substantial R&D efforts during the past
30 years. Such efforts mainly focused on the following goals:
l Improvement of target material utilization
l Stabilization of the reactive process in the transition regime
l Long-term stable high-rate deposition of dielectric films
l Improved film properties
l Higher deposition rates

4.03.5 High Target Utilization

Due to the strong inhomogeneous ion current density, the target material utilization of a planar magnetron is limited to 25–30%
(this holds for a standard magnet set with fixed magnets).
Around 1985, the development of circular magnetrons was driven by the need for fast and cost-effective metallization of a new
entertainment medium, the compact disc (CD).
One of the first attempts to improve the target utilization was the so-called interpoles target-hollow magnetron (IPT-HM) (4)
outlined in Figure 16. In such a configuration, a race track-shaped target is located between the poles of the magnet system (please
note that for a standard system, the magnets are behind the target). A very flat magnetic field is realized by soft magnetic pole pieces
positioned on top of permanent magnets. The erosion zone is broadened, and the material utilization increases up to 60%. Such

Figure 16 The interpoles target-hollow magnetron.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
66 Magnetron Sputtering

Figure 17 The rotatable magnetron.

cathodes were mainly used in the early single disc-coating machines for the metallization of CDs. They marked the beginning of an
era of high-rate disc metallization processes with deposition rates around 50 nm s1. Self-sputtering was observed for Cu at high
power densities (5). Self-sputtering (sputtering without inert gas) occurs if the power density is high enough to ionize sufficient
sputtered material so that the metal ions can sustain the sputter process themselves. This might be seen as an early approach to high
power impulse magnetron sputtering (HIPIMS).
Using the IPT-HM together with a superimposed variable magnetic field created by an electromagnetic coil allows more degrees
of freedom: the area of maximum erosion can be shifted across the target surface.
Further approaches to improve the life of planar sputtering targets were oscillating permanent magnet arrays (6) or the use of
ferromagnetic sheets (7), where the modified field lines create two race tracks. Such configurations resulted in a material utilization
of 50–60%.
In the early 1980s, a tubular magnetron was developed (8,9) and was transferred to industrial coaters as ‘C-MAG’ (cylindrical
magnetron) a few years later. According to Figure 17, cathode body and target are tubes rotating around a fixed magnet array with
a frequency of about 1 Hz. New target material is continuously ‘turned’ into the plasma zone. With a material utilization up to 90%
and other advantages, such rotatable magnetrons are today state of the art in many industrial coaters.

4.03.6 Reactive Sputtering

Magnetron sputtering of metals is not a big challenge if the target surface is clean and proper process conditions (base
pressure, process pressure, and power) are applied. However, many applications in various industrial fields also need
oxides, nitrides, or carbides. Table 1 gives an overview of the most important compound films. Many of them are highly
insulating.
As mentioned in Section 4.03.3, such dielectrics can always be deposited by RF sputtering. However, there are drawbacks such
as high cost for RF power, low deposition rates, and high thermal load to the substrate. Furthermore, RF sputtering is very difficult
to scale.

Table 1 Some important compound thin films

Oxide Nitride Carbide

Al2O3 AlN B4C


Bi2O3 CrN SiC
HfO2 GaN TaC
In2O3–SnO2 Si3N4 TiC
NiO TaN WC
SiO2 TiN
SnO2 ZrN
Ta2O5
TiO2
VO2
ZnO

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
Magnetron Sputtering 67

In a reactive sputter process, the metal part of the compound is sputtered and the oxide, nitride, or carbide film is formed by
addition of the corresponding reactive gas. It is an inherent problem of reactive sputtering that the compound film is formed not
only on the substrate surface (where we like to have it) but also, with increasing process time, on all inner surfaces of the process
chamber (where we do not like to have it), in particular in the noneroding areas of the target surface (where we absolutely do not
like to have it). If, for example, Al2O3 is deposited by use of an Al target and an Ar/O2 gas mixture, parts of the target will get
poisoned by thin Al2O3 films. It is quite obvious that the degree of target poisoning will increase with the oxygen partial pressure.
Depending on this degree of target poisoning, the reactive sputter process is governed by two different stable target modes
l the metallic mode
l the reactive mode
Both modes are separated by an unstable transition regime.
In the metallic mode, the erosion zone of the target is free of reaction products. The deposition rate is close to the rate of the pure
metal, but the growing film is substoichiometric and useless. In the transition regime, at a critical reactive gas flow fRa, a sudden
coverage of the complete target with reaction products occurs, followed by a significant drop of sputter yield and an increase of
secondary electron emission (plasma impedance decreases). Since less-reactive gas for film formation is needed, the reactive gas
partial pressure (and thus the total pressure) in the coating chamber increases. In the reactive mode, the target is poisoned and only
compound material is sputtered. Stoichiometric films are deposited, however, at very low deposition rates (often a factor of 10–15
lower than in the metallic mode). The interaction of target surface with reactive gas results in the well-known hysteresis behavior of
reactive gas partial pressure, voltage, and deposition rate during reactive sputtering. The reactive gas flow has to be reduced to
a critical value fRb < fRa to turn back to the metallic mode. The hysteresis is shown schematically in Figure 18. Figures 19 and 20
show hysteresis curves for DC-reactive Al2O3 and TiO2 deposition.
To obtain stoichiometric films at high rate, it is indispensable to stabilize the process in the transition regime. The basic approach
for process stabilization is a constant reactive gas partial pressure. This can be accomplished by control of either the cathode power
or the reactive gas flow into the chamber. Several concepts have been developed. The most important ones are:
l Measurement of reactive gas partial pressure by a mass spectrometer.
l Only for oxygen: measurement with lambda probe.
l Optical emission spectroscopy: sputtered particles emit characteristic radiation in the visible spectrum. The intensity decreases
with increasing reactive gas partial pressure.
If the control is successful, any working point may be stabilized in the transition regime, as indicated in Figure 21.
While the hysteresis problem can be solved by suitable means of process control, challenges remain due to the growth of
insulating films.
The most severe disadvantage of reactive magnetron sputtering is ‘arcing,’ since it may limit the process stability in a drastic way.
A typical magnetron discharge is operating at 500 V and a power density of 10–20 W cm2. However, the discharge may spon-
taneously collapse into a small thread of ions and electrons called an arc. Such an arc discharge is characterized by low voltage and,
since the total power is concentrated on a very small target spot, by a power density in the 105 W cm2 range. Arcs will always
generate particulates, which may contaminate the growing film. Severe arcing may even give rise to local melting of the target or
local destruction of thin film and substrate. Simple models have been developed to explain arcing phenomena and their origin (10).
It is quite clear that arcs are mainly caused by the thin dielectric layers accumulated in the noneroding areas of the conductive target.
These layers steadily charge up due to the bombardment with positive ions from the plasma. The system negative-biased target-thin
dielectric film-positive surface charge can be described as a capacitor. An electrical breakdown occurs if the field strength in the

Figure 18 Schematic hysteresis behavior of pressure, voltage, and deposition rate for reactive sputtering.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
68 Magnetron Sputtering

Figure 19 Hysteresis for Al2O3.

Figure 20 Hysteresis for TiO2.

capacitor exceeds the breakdown field strength, the latter being a constant for a dielectric material. Such breakdown phenomena
(they are called ‘microarcs’) dominate in the transition zones close to the metallic race track of the target. In these areas, the dielectric
coating is very thin. The electrical breakdown can inject sufficient charge into the plasma to cause it to collapse into a macroscopic
self-sustaining arc discharge.
Based on this understanding of mechanisms for arc formation, different strategies may be developed to achieve long-term stable
reactive sputter processes with high deposition rate. One attempt is the minimization or elimination of redeposited target areas. For
circular targets, this can be realized by plasma scanning of the entire surface using rotating magnet sets (11). Also, the target tubes of
the ‘C-MAG’ described in Section 4.03.5 remain almost free of redeposition. A second attempt may be the periodical neutralization

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
Magnetron Sputtering 69

Figure 21 Hysteresis with process control.

of the said surface charges. It has to be fast enough in order to avoid the breakdown. This implies the use of pulsed power supplies or
AC power instead of DC.
Besides arcing, the DC reactive sputter deposition of insulating materials is accompanied by another substantial problem, which
was often described by the term ‘disappearing anode.’ The sputter system requires an electrode that collects electrons from the
discharge (the anode), the resulting electron flow closes the electrical circuit given by power supply, sputter cathode, and the plasma.
This electrode may be a separate anode or metallic surfaces in the vicinity of the cathode such as shielding, chamber walls, etc.
During reactive deposition of insulating films, all inner surfaces finally will be covered with the insulator, and the anode will
disappear. The impedance of the discharge may increase; an undefined glow distribution, drifting potentials, unstable process
parameters, and, consequently, undefined film properties are observed. In a worst case, the discharge may be extinguished.

4.03.7 Pulse Magnetron Sputtering

Patents teaching that it might be advantageous to pulse a plasma range back to the late 1960s. However, substantial development
work started around 1990, driven by the needs of large-area coating for architectural glass and flat displays. If a single-sputter
cathode is driven by a rectangular pulse, a unipolar and a bipolar shape is possible according to Figure 22. The pulse frequency is in
the range of 1–100 kHz. Compared to DC power, in the case of the unipolar pulse also arcing is remarkably reduced since many arcs
are extinguished during the pulse-off time. In the bipolar version, the cathode is switched periodically to a small positive potential,
thus acting as an anode. Positive surface charges having been built up may be neutralized, and the process stability is further
improved. The problem of the disappearing anode, however, still remains. Furthermore, both solutions suffer from a loss in
deposition rate dependent on the duty cycle.
The optimum solution turned out to be a double magnetron arrangement powered by midfrequency in the range of 10–100 kHz
according to Figure 23. At any time, one of the magnetrons is on negative potential and acts as a sputter cathode, while the second
one acts as an anode. The temporary cathode is generating secondary electrons, which are accelerated toward the anode and
neutralize positive surface charges that have been built up in insulating areas during the negative half cycle. It is obvious that such
a system can also solve the disappearing anode problem. Independent of the environment, the temporary anode is able to collect
the electrons at any time. The result is a substantially improved process stability for reactive sputter deposition of materials such as
SiO2, Si3N4, or TiO2 even on larger areas and with higher power densities. Compared to DC reactive sputtering, often the deposition
rate can be improved by a factor of 2–5.

Figure 22 Pulses for a single magnetron.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
70 Magnetron Sputtering

Figure 23 The principle of pulse magnetron sputtering from a dual unit.

Figure 24 Density of DC- and midfrequency (MF)-sputtered Si3N4 layers.

In general, for films sputtered in midfrequency discharges improved properties are found (13). This relates to
l higher density
l higher refractive index
l higher hardness
l reduced surface roughness

These properties are accompanied by a higher compressive stress. Jäger et al. (12) reported on measurement of ion current and
ion energy during midfrequency sputtering. They found that compared to DC much more energetic ions hit the substrate, which
results in a densification of the film structure. Figures 24 and 25 show some results for Si3N4 films (density and hardness vs process
pressure).
With the transfer of pulsed or midfrequency-driven magnetrons to industrial coaters, novel applications became possible. Some
of them are:
l improved low emissivity and solar control coatings on architectural glass
l sputtered antireflective coatings on large glass panes
l coatings for flat panel displays
l transparent conductive films for solar cells
l tribological coatings for components and tools
l coatings for sensors and precision optics

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
Magnetron Sputtering 71

Figure 25 Hardness of DC- and MF-sputtered Si3N4 layers.

Figure 26 C-MAG for pulsed or MF operation. Source: BOC Coating Technology.

Midfrequency sputtering using rectangular or sine wave-shaped pulses nowadays is standard for many applications in the
coating industry (see Figure 26).

4.03.8 Special Developments

Improved film properties through highly ionized plasmas and higher deposition rates are ongoing challenges in the development of
magnetron sputter sources. In this section, some special developments are presented.

4.03.8.1 The Unbalanced Magnetron


For a standard magnetron, the plasma is confined close to the target, while the substrate is located in an area with a low-density
plasma. The ion current to the substrate is small. However, often, higher ion current densities are required in order to deposit
dense compact films and control their microstructure. A controlled film growth can be achieved by use of a negative substrate bias
(a few 100 V) or an unbalanced magnetron, as outlined in Figure 27. Such an unbalanced magnetron is generated by an additional
magnetic field round the circumference of the cathode created either by permanent magnets or an electromagnetic coil (13–15). It is
possible to expand the discharge from the target and to immerse the substrate in a plasma of high density. An ion density at the
substrate that is higher than that of the coating material flux can be achieved, and much denser films are possible. Unbalanced
magnetrons are mainly used for deposition of hard coatings. For a standard magnetron, the ion current density often is considerably
lower than 1 mA cm2.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
72 Magnetron Sputtering

Figure 27 Unbalanced magnetron.

Figure 28 Sputter yield amplification (SYA).

4.03.8.2 Sputter Yield Amplification and Serial Cosputtering


In 1992, Berg et al. (16) reported an effect they called ‘atom-assisted sputtering yield amplification.’ If light materials such as carbon
are bombarded by argon ions, sputtering is not very effective, since the momentum transfer is low and the collision cascade may
spread deep into the bulk of the target. If atoms of a heavier material are located a few monolayers below the surface of the sputter
target, the collision cascade is reflected by these heavier atoms and the sputter yield increases (see Figure 28). For Pt atoms
embedded below the surface of a C target, the authors found an enhancement by a factor of 3.

4.03.8.3 Hot Target Sputtering


As indicated in Section 4.03.1, around 85% of the electric power delivered to a sputter target is transferred to heat. As a consequence,
intensive target cooling is necessary to avoid melting. If the flow of cooling water is reduced, the target operates at elevated

Figure 29 Circular target operating close to the melting point.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
Magnetron Sputtering 73

Figure 30 Deposition rate as function of power for SiO2 deposition from a hot target.

temperature. At temperatures close to the melting point (approximately T ¼ 0.8 Tm), so-called thermal spikes are formed in the
target and sputtering is supported by evaporation, leading to a strong increase of the yield. ‘Hot target sputtering’ may be an
interesting alternative to evaporation processes, if very high deposition rates are required (for example, for coatings on metal strips
running at speeds in a range of 100 m min1). Figure 29 shows the intensive plasma of a circular magnetron sputtered close to the
melting point. Figure 30 demonstrates the increase of the deposition rate for sputtering of SiO2.

4.03.9 Concluding Remarks and Outlook

The industrial implementation of magnetron sputtering has been accompanied by various milestones. The 1980s may be described
as the decade of reactive DC sputtering and the 1990s as the decade of pulsed sputtering and higher target utilization. If we take into
account the enormous work on HIPIMS discharges during the past 10 years, the 2000s may be seen as the decade of high ionization.
Many challenging tasks remain for the future. High ionized plasmas at further improvement of deposition speed are desirable, and
the complexity of reactive magnetron sputter processes and their control has to be reduced. The role of computer simulation in the
field of plasma sources and processes is steadily increasing. Simulation often saves much time and cost for expensive test equipment.
Finally, sputtering is more and more employed to deposit film stacks used in precision optics, where conventional evaporation
processes are at their limit. Optical filters nowadays require up to 1000 individual layers with thickness deviations less than 0.5% for
each layer.

References

1. Sigmund, P. Phys. Rev. 1969, 184, 383.


2. Thompson, M. W. Philos. Mag. 1968, 18, 377.
3. Hippler, R.; Kersten, H.; Schmidt, M.; Schoenbach, K.-H. Low Temperature Plasmas; Wiley-VCH, 2008. ISBN: 978-3-572-40673-9.
4. Kukla, R.; Krug, T.; Ludwig, R.; Wilmes, K. A highest rate self-sputtering magnetron source. Vacuum 1990, 41 (7–9), 1968.
5. Krug, T.; Beißwenger, S.; Kukla, R. In 34th Annual Technical Conference Proceedings of the Society of Vacuum Coaters 1991, Vol. 83.
6. De Bosscher, W.; Lievens, H. Advances in magnetron sputter sources. Thin Solid Films 1999, 351, 15.
7. Adam, R.; Krempel-Hesse, J.; Bähr, M. US Patent 6,139,706, 2000.
8. McKelvey, H. E. Magnetron Cathode Sputtering Apparatus. US Patent 4,356,073, 1982.
9. Wright, M.; Beardow, T. J. Vac. Sci. Technol. 1986, A4 (3), 388.
10. Scholl, R. A. In 36th Annual Technical Conference Proceedings of the Society of Vacuum Coaters 1993, Vol. 405.
11. Bräuer, G.; Dicken, W.; Müller, S. Optical Thin Films III: New Developments. Proc. SPIE 1990, 1323, 151.
12. Jäger, S.; Szyszka, B.; Szczyrbowski, J.; Bräuer, G. Surf. Coat. Technol. 1996, 98, 1304.
13. Window, B.; Savvides, N. J. Vac. Sci. Technol. 1986, A4 (2), 196.
14. Musil, J.; Kadlec, S.; Münz, W.-D. J. Vac. Sci. Technol. 1990, A8, 1318.
15. Pauleau, Y. Materials Surface Processing by Directed Energy Techniques; Elsevier Science: Oxford, UK, 2006. ISBN: 9780080444963.
16. Berg, S.; Barklund, A. M.; Gelin, B.; Nender, C.; Katardjiev, I. J. Vac. Sci. Technol. 1992, A10 (4), 1592.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
This page intentionally left blank

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
4.04 High Power Impulse Magnetron Sputtering – HIPIMS
R Bandorf, V Sittinger, and G Bräuer, Fraunhofer-Institut für Schicht- und Oberflächentechnik IST, Braunschweig, Germany
Published by Elsevier Ltd.

4.04.1 Introduction to High Power Impulse Magnetron Sputtering 75


4.04.2 HIPIMS Generation 75
4.04.2.1 HIPIMS Operation Modes 76
4.04.2.1.1 Unipolar HIPIMS Mode 77
4.04.2.1.2 Bipolar HIPIMS Mode 80
4.04.2.1.3 Pulse Packages (Pulse Trains, Oscillatory Pulses) 81
4.04.2.1.4 Superimposed HIPIMS 82
4.04.3 HIPIMS Process Technology 83
4.04.3.1 Influence of Magnetic Field 84
4.04.3.1.1 Magnetic Configuration of the Cathodes 84
4.04.3.1.2 Guiding the Material Flux by Magnetic Field 86
4.04.3.2 Reactive Process Control 86
4.04.4 HIPIMS Applications 88
4.04.4.1 HIPIMS Pretreatment 89
4.04.4.2 Coating Systems 90
4.04.4.2.1 Hard Coatings 91
4.04.4.2.2 Electrical Coatings 92
4.04.4.2.3 Insulating Layers 93
4.04.4.2.4 Transparent Conductive Oxides 94
4.04.4.2.5 Antibacterial Coatings 96
4.04.5 Patent Situation 97
4.04.6 Outlook 98
References 98

4.04.1 Introduction to High Power Impulse Magnetron Sputtering

High power impulse magnetron sputtering (HIPIMS) or high power pulse magnetron sputtering (HPPMS) is a relatively new
technology in the transition from academic research to industrial applications. By using ions instead of neutrals, or at least
a significant fraction of ionized species, for thin film deposition, the resulting film properties can be altered significantly.
There are different approaches for ionized sputtering, and HIPIMS is the most recent development (28). The basic devel-
opment can be traced back to the 1960s in Russia. It took time to develop the technology and apply it for coating
deposition. Some of the early papers on thin film deposition using high power pulse plasmas were published in the mid-
1990s (12,33). Commonly, the paper by Vladimir Kouznetsov et al. in 1999 is cited as the seminal paper on HIPIMS (31).
Ever since, the technology has been further developed and improved by different research teams (15–19,28,38).
In line with the development of this pulse technique, a new generation of pulse power supplies, different from
conventional ones, was developed. The HIPIMS power supply operates at average power comparable to DC or MF power
supplies but the discharge is pulsed with a low duty cycle, resulting in peak power and current up to some megawatts or
some kiloamperes, respectively. The high peak power or current densities at the cathode are required to get a significant
amount of target material ionized (2,13,26). The ionized target material results in a significant modification or improve-
ment of the properties of the growing films regarding film density, hardness, roughness, refractive index, and so on
(7,17,29,47). An example is shown in Figure 1 where the film structure is significantly different for DC and HIPIMS-
sputtered NiCr films.

4.04.2 HIPIMS Generation

The general principle for generating HIPIMS plasmas is well known, with small modifications to all of today’s commercially
available power supplies. The typical HIPIMS power supply consists of a bank of capacitors that is charged at a constant voltage
Ucharge by a DC power source. These capacitors are then unloaded into the plasma through an inductor, L. The energy stored in the
capacitor can be calculated by:
1
Epulse ¼ C$UCharge 2 [1]
2

Comprehensive Materials Processing, Volume 4 http://dx.doi.org/10.1016/B978-0-08-096532-1.00404-0 75


www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
76 High Power Impulse Magnetron Sputtering – HIPIMS

Figure 1 SEM cross-section of NiCr films. Left: conventional DC-sputtering (columnar growth); right: HIPIMS (dense, glassy structure).

Using a specific duty cycle or repetition frequency f of the pulse, the average power on the cathode can be calculated easily.
Usually both target voltage and current are monitored during a single pulse using an oscilloscope. From the course of U(t) and I(t),
the delivered energy per peak can be calculated:
Z
Epulse ¼ UðtÞ$IðtÞdt [2]

The typical setup for an HIPIMS generator is shown in Figure 2. There might be an additional transformer between the capacitor
bank and the plasma, resulting in modified current–voltage behavior of the generator. Additionally, there could be a matching
network and some sophisticated approaches for arc handling.
Depending on the setup of the power supply, HIPIMS processes operate in unipolar, bipolar, or burst mode (pulse packages,
pulse trains). Additionally, the HIPIMS discharge can be superimposed by a second plasma generated at the same cathode or
a second source. A general model describing the HIPIMS process and especially the processes of the species involved in the sput-
tering process was proposed as target material pathway model by Christie (16) and further improved by Vlcek (45). This model is
shown in Figure 3. An arriving gas ion Gþ t hits the target and sputters an atom Mtot of the target material with a sputtering yield SMG.
There is a probability b that the target atom Mtot becomes ionized. With a probability 1  b the atom remains neutral. The
probability that the atom becomes ionized by collisions in the vapor phase is g. The neutral atom can get lost with a probability of
1  xn or will arrive at the substrate as neutral atom MS with a probability xn. The ionized target material Mþ t in front of the target can
be redirected to the target with a probability of s and sputter the target with a sputtering yield of SMM. 1  s is the probability that
the ion from the target will travel toward the substrate. 1  xi is the probability that the ion get lost on the way to the substrate.
xi finally is the probability that the ionized target atom Mþ S will reach the substrate (45).

4.04.2.1 HIPIMS Operation Modes


When using HIPIMS technology, different operation modes are possible (Figure 4), depending on the used power supply. Typical
pulse on-times in any case of the HIPIMS processes are ranging from a few microseconds up to some milliseconds. The basic
principle is a unipolar pulse. Furthermore like in conventional pulse magnetron sputtering also bipolar operation is possible.
Especially for reactive processes this might be beneficial. Instead of using a single pulse there might be also pulse packages or pulse
trains used. This can be either in repeating the single pulses or using a transformer leading to the so-called modulated pulse power
(MPP) sputtering. Furthermore there can be voltage oscillations applied to the cathodes. Finally the HIPIMS discharge can be
superimposed by additional discharges on the same cathode (8,43,44) or a second material source (34).

Figure 2 Schematic principle of an HIPIMS power supply.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
High Power Impulse Magnetron Sputtering – HIPIMS 77

Figure 3 Improved target pathway model. Reproduced from Vlcek, J.; Kudlacek, P.; Burcalova, K.; Musil, J. J. Vac. Sci. Technol. A 2007, 25 (1), 42.

4.04.2.1.1 Unipolar HIPIMS Mode


The most used and by far most communicated operation mode is unipolar HIPIMS. Depending on the setup of the power supply,
there might be a high initial applied voltage that is subsequently dropping after ignition with onset of the current rise (Figure 5(a)).
Alternatively, today mostly a quasiconstant voltage is applied to the sputtering cathode and the current rises in a triangular shape
(Figure 5(b)). Depending on the pulse duration, the current shows a triangular shape, or for longer pulses, reaching a maximum
followed by a steady state or decay of the pulse current.
Comparing DC, MF, and HIPIMS operation of a nonmagnetic target, an interesting effect on target utilization can be observed.
Keeping the average power constant, pulse discharges have a larger average power in the pulse. This becomes extreme in HIPIMS.

Figure 4 Different modes of HIPIMS: (a) unipolar; (b) bipolar; (c) pulse packages/pulse trains; and (d) superimposed.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
78 High Power Impulse Magnetron Sputtering – HIPIMS

Figure 5 Voltage, current, power curves of a single HIPIMS pulse using different settings of power supply (different L, C). (a) High ignition voltage
with delayed current rise and (b) “rectangular voltage shape” correlated with linear current increase. Reproduced from Sittinger, V. et al. Thin Solid
Films 2008, 516, 5847–5859.

Therefore, the racetrack is broadened using pulse sputtering, especially HIPIMS. Figure 6 shows the erosion profiles of nonmagnetic
targets sputtered at the same cathode using DC, MF, and HIPIMS. It is obvious that with increasing peak power of the discharge the
racetrack is broadened.
Due to the higher voltage applied in HIPIMS and the nonlinear correlation of the sputtering yield, the deposition rate in
nonreactive HIPIMS is reduced, as reported by Emmerlich et al. (23). In reactive HIPIMS, there are working conditions in which
a stoichiometric compound is formed at a higher rate using HIPIMS compared to the reference process as shown by Sarakinos
et al. (37).
Increasing the peak power is also correlated to increasing the peak current in the discharge. Furthermore, the ionization of the
sputtered target material is correlated to the current in the discharge. Optical emission spectroscopy (OES) is used to gather
information on the existence and the amount of ionization in the discharge. Using OES, a pulse time mode was used to scan for
specific emission lines. Figure 7 shows the voltage and current evolution of a single HIPIMS pulse, as well as the correlated optical
emission for neutral and ionized titanium species. For neutral titanium, there is just a linear increase in the emission intensity
observed. The emission of the ion lines follows the shape of the current and shows a more triangular shape. This means that with
increasing current, more titanium atoms become ionized. At a certain threshold the emission line for Tiþ starts to increase. The
emission of Ti2þ follows at higher current levels of the discharge current. Plotting the emission intensities over the pulse current
offers the definition of threshold current for the excitation of specific species (6). On the other hand, it seems that the number of
ions, or in general the ion to neutral ratio, is correlated with the discharge current. Anyway, it has to be taken into account that the

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
High Power Impulse Magnetron Sputtering – HIPIMS 79

Figure 6 Erosion profile of nonmagnetic sputtering targets in DC, MF, and HIPIMS operation. Reproduced from Sittinger, V.; Szyszka, B.; Bandorf, R.;
Vergoehl, M.; Pflug, A.; Christie, D. J.; Ruske, F. 51st SVC TechCon Proceedings; 2008, 35.

measurement was performed with a fiber facing the target. For the propagation of the sputtered species, there are measurements
published for different distances to the target showing that the composition of the plasma is changing (22).
As suggested by the OES data, the electron density during the pulse is significantly changing. Hecimovic et al. showed that the
electron density in a unipolar HIPIMS pulse is a function of the operating pressure and the distance to the target (Figure 8) (27). For
80 ms pulses the electron density was measured at 2.5, 5, 10, and 15 cm distance from the target. It can be seen that the electron

Figure 7 Single HIPIMS pulse; voltage and current evolution in correlation with emission lines for Ti0, Tiþ, Ti2þ. Reproduced from Bandorf, R.; et al.
49th SVC TechCon Proceedings 2006, 21.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
80 High Power Impulse Magnetron Sputtering – HIPIMS

Figure 8 Electron density as function of time at different distances to the target at (a) 1 Pa and (b) 3 Pa (27). Reproduced from Hecimovic, A.;
Ehiasarian, A. P. 52nd SVC Annual TechCon Proceedings; 2009, 240.

density is highest at closest distance to the target and at lowest pressure. Increasing the distance leads to some drop of electron
density. More severe is the increase of the operating pressure. The maximum in the electron density at 15 cm distance nearly fully
vanishes (one order of magnitude smaller). The electron density at the large distance shows only a small peak and remains at the
density of the closer distances after 300 ms time.

4.04.2.1.2 Bipolar HIPIMS Mode


Similar to midfrequency sputtering, especially when using reactive processes forming insulating coatings, bipolar operation offers
the chance to discharge the target surface and avoid arcing. In the bipolar mode a dual magnetron configuration is used. The two
cathodes are pulsed alternatingly. In the first half of a bipolar pulse cycle, a negative voltage is applied to the first cathode while the
second cathode is slightly positive. Then in the second half, the second target serves as cathode while the first is on positive potential
with reference to the second target. By alternatively pulsing, a charging of the target surface is avoided and thereby arcing can be
reduced or fully eliminated. One example is given for TiO2 coatings (voltage and current curves are shown in Figure 9).
As shown by Vergöhl et al., bipolar HIPIMS was used to improve refractive index and density of titania coatings (44). Figure 10
shows a collection of density and refractive index values published by several groups, as well as results of films deposited by HIPIMS.
The highest values for refractive index and density are reported for bipolar HIPIMS.
Using a symmetric pulse shape shows similar peak current and power at the target for each pulse. There might be a difference in
peak current when using a dual magnetron setup with different magnetic field strength and different target erosion. Figure 11(a)
shows a symmetric bipolar pulse on a dual magnetron setup. The charge voltage of the bipolar power supply was fixed but the
resulting peak current differed. The reason was the difference in the magnetic field strength (Figure 11(b)). Cathode B with the
stronger field showed higher values of the peak current.
Therefore, it is essential to adjust the settings properly when using more than one cathode in HIPIMS mode. Additionally the
off-time between the first and the second pulse is expected to show an influence on the resulting peak current in each pulse. In the
case of remaining charged particles in the chamber, the current rise of the second pulse will be different since the ignition process
is faster.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
High Power Impulse Magnetron Sputtering – HIPIMS 81

Figure 9 Voltage and current curves of bipolar HIPIMS.

Figure 12 shows the current shape of bipolar HIPIMS pulses with modified off-time between first and second pulse for fixed
charging voltage and repetition frequency of the dual pulse.

4.04.2.1.3 Pulse Packages (Pulse Trains, Oscillatory Pulses)


Using pulse packages gives the benefit of creating a preionization with the first pulse for the following ones. Furthermore, by
interrupting a long single pulse into different segments, the probability of arcing is also reduced. Figure 13 shows a pulse package of
six pulses. The first pulse shows a delayed current rise due to ignition, while the following pulses show simultaneous current rise,
starting already from a defined current level.
By modifying the on- and off-times of the pulses within a sequence (also called macropulse), the resulting current level of the
discharge is modified. Depending on the setup of the power supply, the voltage is fixed or can be modified itself (in the case of
a transformer between pulsing unit and sputtering target). The latter case is also known as modulated pulse power sputtering MPP.
In MPP the overall pulse time is usually longer than in conventional HIPIMS. The peak current in contrast is mostly lower,
depending on the cathode size resulting in a current density of a few 0.1 A cm2, typically (Figure 14).
A recent modification within the field of HIPIMS uses voltage oscillations to build up pulse files with a high discharge current.
Especially by using the oscillating voltage (Figure 15), reaching zero level between the oscillations is another approach to avoid
arcing in the process.

Figure 10 Refractive index and density of TiO2 coatings prepared with different methods. Reproduced from Vergöhl, M.; Werner, O.; Bruns, S. Proc. of
SPIE 2008, 2008; Vol. 7101, p 71010B-1.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
82 High Power Impulse Magnetron Sputtering – HIPIMS

Figure 11 Target current for constant charging voltage for a dual magnetron with different magnetic field strength: (a) current curves and (b) mapping
of the magnetic field strength.

Using Langmuir measurements, it can be seen that there is a significant difference in the floating potential at the sub-
strate position. In the conventional HIPIMS case, there is a constant negative potential during the pulse of a few volts.
When using the plasma oscillations, there is a significant influence of the off-time between the oscillations. If the off-time
is small, the plasma potential stays negative during all the oscillations with small modulations, comparable to single
HIPIMS pulses. When increasing the off-time between the oscillations the floating potential reaches ground potential
(Figure 16).

4.04.2.1.4 Superimposed HIPIMS


One of the reported drawbacks of HIPIMS is the reduction of the deposition rate (23). Due to differences in the sputtering yield as
a function of the discharge voltage and due to back attraction of ionized target material toward the target, an effectively lower
deposition rate is observed for nonreactive HIPIMS processes. To overcome this drawback, different approaches were made
matching different discharges. In general, a DC or MF discharge is superimposed with an HIPIMS discharge. This superposition can
either be on the same cathode (8,43,44) or using different cathodes (34) (Figure 17).
Combining DC and HIPIMS allows for increasing the resulting deposition rate. When combining DC and HIPIMS at the same
source, the total average power must not exceed the limits of the used cathode. Thus with increasing the DC amount the rate will be
increased, but the increase might be at the expense of the HIPIMS portion. When combining DC and HIPIMS also the resulting film
properties will be a mix of the pure DC and HIPIMS properties. At first glance, it looks like the resulting properties are corre-
spondingly adjusting to the portion of the DC and HIPIMS fraction applied to the cathode. Figure 18 shows the increase in the
deposition rate when adding DC to an HIPIMS discharge. In this case the average power is increased from 2 kW pure HIPIMS in
0.5 kW steps adding DC up to a total average power of 4 kW. The resulting SEM cross-section shows that in a similar matter the
morphology changes from very dense to more DC-like columnar structure (9).
Therefore combining HIPIMS and DC can lead to an increase of the deposition rate, but it effectively reduces the peak current in
pure HIPIMS discharge, which in turn also will increase the deposition rate.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
High Power Impulse Magnetron Sputtering – HIPIMS 83

Figure 12 Current shape of bipolar HIPIMS pulses with modified off-time between first and second pulse for fixed charging voltage and repetition
frequency of the dual pulse: (a) long off-time of 4900 ms: no remaining charge; (b) short off-time of 20 ms: higher current, instantaneous current rise
with higher peak current.

4.04.3 HIPIMS Process Technology

Besides the pulse pattern used for the discharge, there are additional important factors influencing the deposition process (32). On
the one hand, the magnetic setup, both at the cathode side and for guiding the material flux, plays an important role. On the other
hand, in the case of reactive HIPIMS, there are reports on hysteresis free sputtering as well as an adapted process control to stabilize
an HIPIMS discharge also on a large scale.

Figure 13 Pulses package of six pulses. Current rise of the first pulse is delayed; the following pulses have an instantaneous current rise.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
84 High Power Impulse Magnetron Sputtering – HIPIMS

Figure 14 Voltage, current, and power evolution in the case of MPP for a macropulse of 1 ms; the pulse is subdivided in a low ionized phase (first half)
and a high ionized phase (second phase). Reproduced from Papa, F.; et al. Thin Solid Films 2011, http:dx.doi:10.1016/j.tsf.2011.09.004.

4.04.3.1 Influence of Magnetic Field


There are two different sites where the magnetic setup can influence the HIPIMS process. The plasma impedance is influenced
mainly by the magnetic field at the cathode, and also by the use of magnetic coils between the target and the substrate to guide the
flux of the ionized species.

4.04.3.1.1 Magnetic Configuration of the Cathodes


As shown by Anders (3) for a given electron temperature, the gyration radius of the electrons and therefore the cross-section for
ionization in front of the cathode are depending both on the applied voltage and the strength of the magnetic field in front of the
cathode. Figure 19 shows that the electron gyration radius is increasing with increasing electron energy, i.e., increasing applied
voltage at the cathode. Additionally, the gyration radius decreases with increasing magnetic field strength of the cathode. Therefore,
increasing the magnetic field strength will trap more electrons close to the cathode. With increasing target voltage, which is usually
the case when increasing the ionization in HIPIMS, the gyration radius increases. The confinement of the electrons in front of the
cathode is improved with increased magnetic field strength. As a result, the same peak current can be reached in HIPIMS at lower
charging voltages when increasing the magnetic field strength.
The deposition rate can be significantly increased by weakening the magnetic field strength and releasing more ionized material
in the vicinity of the cathode (21). In turn also the resulting structure is modified. Figure 20 shows the cross-section of chromium
films deposited by HIPIMS using different magnetic configurations of the cathode. The maximum tangential component of the

Figure 15 Oscillating voltage plasma; voltage and current are a function of the used frequency.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
High Power Impulse Magnetron Sputtering – HIPIMS 85

Figure 16 Floating potential at substrate position with oscillatory excitation. ton: 16 ms, toff: 50 ms, Ipeak: 100 A (w0.5 A cm2).

Figure 17 DC superimposed HIPIMS on the same cathode. Initial current rise in the HIPIMS pulse when running a constant DC discharge in the
HIPIMS off-time. Reproduced from Bandorf, R.; Falkenau, S.; Schmidt, V. 50th SVC Annual TechCon Proceedings; 2007, 477.

Figure 18 Increase in deposition rate by superimposing DC on HIPIMS. Reproduced from Bandorf, R.; Falkenau, S.; Schiffmann, K.; Gerdes, H.;
Heckmann, U. 51st SVC Annual TechCon Proceedings; 2008, 59.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
86 High Power Impulse Magnetron Sputtering – HIPIMS

Figure 19 Electron gyration radius as a function of the magnetic field strength of the sputtering cathode. Reproduced from Anders, A.; Andersson, J.;
Ehiasarian, A. P. J. Appl. Phys. 2007, 102, 113303.

magnetic field is decreasing from (a) 50 mT, (b) 40 mT, (c) 32 mT, (d) 25 mT to (e) 17 mT. It can be clearly seen that the resulting
structure also changes from a dense structure to a columnar DC-like structure by reducing the magnetic field strength.
Furthermore open or closed field configuration affects the number of electrons and ions. For an open field configuration, the
electron density is lower. Using the same process parameters in closed field configuration, the resulting structure is densified. Also
the single grains are close together, while in open field configuration there are numerous voids visible in top view (Figure 21).

4.04.3.1.2 Guiding the Material Flux by Magnetic Field


Besides the properties of the magnetic field directly at the cathode, the flux of ionized material can be influenced by using coils
between cathode and substrate. Since in HIPIMS a significant fraction of the target material is ionized, the current applied to the
coils will influence the fraction of ionized species in their sputter direction. Figure 22 (left) shows the experimental setup used to
study the influence of the magnetic field for guiding the flux of target material (a) without and (b) with the coils. For the setup with
the coils two different magnetic configuration (low and high field strength) were used. For several positions perpendicular and
parallel to the target surface, the deposition rate was measured (Figure 22, right). While the deposition rate for the chosen system
was between 2 and 4 nm min1 without additional field for all the measurement points the deposition rate was almost doubled for
the high field parallel to the target surface. The deposition rate perpendicular is correspondingly reduced showing, as expected that
the flux, because ionized can be guided by magnetic fields. Depending on the application, e.g., for static deposition a significant
improvement of the deposition rate and also an increased ion to neutral ratio by focusing the ionized flux can be realized.

4.04.3.2 Reactive Process Control


The use of reactive gases like oxygen or nitrogen for sputtering reactive HIPIMS processes has attracted growing interest in recent
years. For laboratory-scale systems, a reduction of the typical hysteresis of reactive oxide processes (36), and even a hysteresis free

Figure 20 SEM images of cross-section of the coating deposited at five different magnetic field settings of the cathode: decreasing field strength
from (a) 50 mT, (b) 40 mT, (c) 32 mT, (d) 25 mT to (e) 17 mT. Reproduced from Ehiasarian, A. P.; Vetushka, A. 52nd SVC Annual TechCon Proceedings;
2009, 265.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
High Power Impulse Magnetron Sputtering – HIPIMS 87

process, are reported (46). Sarakinos et al. studied reactive HIPIMS of TiOx films. The results indicated that under specific conditions
the deposition rate can be higher than during DC using the same average current. Furthermore, the change in target voltage
associated with the oxidation of the target occurs at higher O2 flows in the HIPIMS case.
Wallin and Helmersson reported on sputtering Al2O3 from a 200 cylindrical target with current densities in the range of 0.3–
1.3 A cm2 in HIPIMS mode without observing any hysteresis. For the investigations, pulses of 35 ms and a repetition frequency
of 1 kHz was used. For DC operation, the highest deposition rates for stoichiometric oxide films are reported at 70% of the metal
deposition rate; for HIPIMS, the deposition rate was increased to 140% (46).
For large-area cathodes, Sittinger et al. still observed a hysteresis and the need for active process control. Since HIPIMS is using
a charged capacitor bank for pulsing, a power control as used in reactive sputtering is not directly applicable for HIPIMS. Hence,
a frequency modulation in reactive HIPIMS was used. The pulse duration was kept constant for all the HIPIMS pulses. With
sufficient off-time between the pulses, the shape of the HIPIMS pulse and the corresponding peak current is not changed, as shown
in Section 4.04.2.1.2. Therefore the modification of the off-time results in an effective modulation of the applied voltage without
changing the charging voltage and therefore the pulse shape of the single HIPIMS pulse. In the DC or pulsed-DC case, again the
power can be modified according to the feedback signal from a lambda probe or optical emission, by modulating the pulsing
frequency, i.e., the off-time (39). Figure 23 shows the process characteristics for reactive HIPIMS of aluminum-doped zinc oxide at

(b)

Figure 21 Open (a, b) and closed field (c, d) configuration. Left: OES spectra; (a, c), right: SEM top view (b, d); 2.5 kW average power.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
88 High Power Impulse Magnetron Sputtering – HIPIMS

(d)

Figure 21 (Continued).

different charge voltages UCh. The hysteresis was examined by recording the oxygen partial pressure for increasing and decreasing
frequency. The process curve has been recorded with activated control and continuously changing set point for oxygen partial
pressure. With increasing charge voltage the deviations of the repetition frequency for a fixed pulse time occurred. Nevertheless, any
set point of the hysteresis curve could be stabilized (39).
Wallendorf et al. showed for reactive ZnOx deposition that for the coating system used, a hysteresis occurred. Furthermore, using
an active feedback system, every point in the hysteresis could be stabilized by changing the average power using the off-time
modulation (47). Figure 24 shows two hysteresis curves for fixed oxygen flow of 2 and 3 sccm in dependence of the average power.
Beneath the different stabilized working points the average off-time used between the pulses to maintain the oxygen partial pressure
of the process is indicated.

4.04.4 HIPIMS Applications

From the seminal work of Kouznetsov (31) significant process development was made in HIPIMS technology. Today, several
industrial applications or applications close to industrialization are available. This section highlights different available solutions

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
High Power Impulse Magnetron Sputtering – HIPIMS 89

Figure 22 (a) Setup for measurement of influence of magnetic coils for measuring material flux. (b) Deposition rate as function of applied field
to magnetic coils ((a), ii). Reproduced from Bohlmark, J.; Östbye, M.; Lattemann, M.; Ljuncrantz, H.; Rosell, T.; Helmersson, U. Thin Solid Films 2006,
515, 1928.

for improved coating pretreatment and realization of significantly improved coatings as well as unique solutions that would not be
possible without HIPIMS.

4.04.4.1 HIPIMS Pretreatment


One of the fundamental issues of thin film coatings is the adhesion of the coating for nearly all applications. Conventionally, Ar
glow discharge etching is used. Depending on the application, problems arise with the desired adhesion strength. The improvement
of the adhesion is realized by, e.g., cathodic arc discharge pretreatments. The drawback of this method is additional droplet
formation from the arc source at the interface. HIPIMS offers the chance to use the benefit from arc etching, i.e., the use of target ions
for bombardment without the disadvantage of droplet formation. Ehiasarian et al. compared the adhesion of CrN/NbN coatings on
HSS substrates using Ar plasma etching, arc discharge pretreatment, and HIPIMS etching (Figure 25) (20). The adhesion was
quantified using the critical load in a scratch test for the different methods. While Ar plasma etching showed poor adhesion,
a significant improvement was realized using arc discharges. The best adhesion was realized in the case of HIPIMS etching.
One reason for the excellent adhesion in the case of HIPIMS is the absence of droplets and macroparticles compared to arc.
Furthermore, Ehiasarian et al. showed in earlier works that using HIPIMS etching creates a metal implantation zone of several
nanometers on the substrate surface (18). Scanning transmission electron microscope cross-sections showed that the interface
between substrate and film was clean without additional phases except the film and substrate ones. Compared to conventional

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
90 High Power Impulse Magnetron Sputtering – HIPIMS

Figure 23 Process characteristics for reactive HIPIMS of Al-doped zinc oxide at different charge voltages (800 V, 1000 V, 1200 V). Reproduced from
Sittinger, V.; Ruske, F.; Werner, W.; Jacobs, C.; Szyszka, B.; Christie, D. J. Thin Solid Films 2008, 516, 5847.

sputtering, the grains were larger for HIPIMS. This indicates a high adatom mobility. Furthermore, no intercolumnar voids were
observed. Figure 26 shows the scanning transmission electron microscopy – energy dispersive spectrometry (STEM-EDS) analysis of
the chemical composition of the coating-substrate interface after etching and CrN deposition using HIPIMS (18).

4.04.4.2 Coating Systems


The main focus of the development in HIPIMS technology was the realization of coatings with completely new or at least significant
improved properties. The seminal paper from Kouznetsov (31) highlighted the potential for trench filling and via contacts by
HIPIMS due to the high ionization. Several publications on the topic of trench filling followed. A large number of publications focus

Figure 24 Hysteresis curve with stabilized working points in the transition regime. Reproduced from Wallendorf, T.; Vergöhl, M.; Werner, O.; Bandorf, R.
51st SVC Annual TechCon Proc.; 2008, 3.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
High Power Impulse Magnetron Sputtering – HIPIMS 91

Figure 25 Adhesion of CrN/NbN coatings on HSS substrate pretreated by Ar glow discharge, cathodic arc, and HIPIMS discharges. Reproduced from
Ehiasarian, A. P.; Wen, J. G.; Petrov, I. J. Appl. Phys. 2007, 101, 054301.

Figure 26 STEM-EDS analysis of the chemical composition at the coating–substrate interface after pretreatment and CrN coating deposition by
HIPIMS. Repoduced from Ehiasarian, A. P.; Münz, W.-D.; Hultmann, L.; Helmesson, U.; Petrov, I. Surf. Coat. Technol. 2003, 163–164, 267.

on hard coatings. Also papers on oxides and reactive processes with and without feedback control are published. The applications
span a wide range of areas from tools, microelectronics, photovoltaic, and optics. A small number of examples follow in this
subsection.

4.04.4.2.1 Hard Coatings


For hard coatings, nitrides are very common. Utilizing HIPIMS, there are a significant number of publications on the synthesis and
properties of nitrides.
Ehiasarian et al. reported on the synthesis of CrN films using HIPIMS. The prepared smooth films showed a low sliding friction
coefficient of 0.4 against Al2O3. This low value was attributed to the absence of droplets. The hardness was reported as being higher
than conventional physical vapor deposition (PVD) CrN films (UBM, cathodic arc) (18).
Bobzin et al. reported a combined DC-HIPIMS process for deposition of Ti–Al–N films. A box coater with multicathodes was
used. Some of the cathodes were running in conventional sputtering mode, while others were operated in HIPIMS mode. For cutting
inserts it was shown that the deposition rates for both flank and rake side, being parallel and perpendicular to the target surface,
respectively were similar. Especially the typically low deposition rate at the rake face (perpendicular to the target) was significantly
improved. Furthermore, for both rake and flank face, the film growth was perpendicular to the surface (Figure 27) (10).
Besides nitrides, diamond-like carbon films (DLC) are widely used in tribological applications. Improvement by using ionized
deposition is also expected. On the other hand, carbon presents some challenges, since the deposition rate and the ionization
probability are quite low (1,3). There are some publications on using HIPIMS for deposition of carbon coatings, however. DeKoven
et al. reported on using HIPIMS for carbon deposition from a graphite target. The density of the films was with 2.7 g cm3,
significantly higher than with other processes. The reported hardness of the films was 7 GPa, which is surprisingly low (17).
In our experiments, the focus was on synthesizing DLC films with hardness as high as possible. Therefore a graphite target was
sputtered using HIPIMS in an Ar/C2H2 atmosphere. The resulting maximum plastic hardness exceeded 60 GPa. The corresponding

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
92 High Power Impulse Magnetron Sputtering – HIPIMS

Figure 27 Cross-sectional SEM images of HIPIMS Ti–Al–N coating deposited on the flank and the rake side of a cutting insert. Reproduced from
Bobzin, K.; Bagcivan, N.; Immich, P.; Bolz, S.; Alami, J.; Cremer, R. J. Mater. Process. Technol. 2009, 209, 165.

indentation hardness was higher than 40 GPa. Figure 28 shows the cross-section of an HIPIMS DLC film with 53 GPa plastic
hardness.

4.04.4.2.2 Electrical Coatings


In microelectronics, vertical interconnects in chip stacks are realized by through-silica via (TSV) as an alternative to wire bonding.
The deposition of the seed layer is realized by PVD technology. Weichart et al. reported on improvement of the sidewall and bottom
coverage using HIPIMS. Aspect ratios (ARs) of up to 30:1 with vertical sidewalls were coated. For Ti bottom coverage of 20% for
trenches with AR of 10:1 and still 7% for AR of 30:1 is reported (48). Focused ion beam scanning electron microscope (FIB SEM)
pictures of Ti/Cu layers in a via with AR 10:1 are shown in Figure 29.
HIPIMS offers an efficient technology to coat trenches and vias with good bottom coverage and acceptable rate. Comparing
HIPIMS with conventionally used post-ionization by an RF coil showed in HIPIMS a twofold higher degree of ionization that was

Figure 28 SEM cross-section of a DLC film using HIPIMS in Ar/C2H2 (5%), Hpl: 53.0 GPa, indentation hardness: 35.7 GPa, 3376 HV.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
High Power Impulse Magnetron Sputtering – HIPIMS 93

constant over the target substrate distance. For the RF coils, a significant ionization is found only for distances more than 10 cm from
the target (35). Therefore, HIPIMS allows for smaller target substrate distances and higher ionization for improving the coating
properties for vias and trenches.

4.04.4.2.3 Insulating Layers


Sproul et al. were the first to report on the reactive HIPIMS deposition of dielectric films. The target peak power reached up to 3 MW.
Two different settings of the cathode were investigated. In both cases some arcing occurred, but the power supply was able to handle
the arcing. For an equivalent average power deposition rates were lower in the HIPIMS case. This lower rate is attributed to back
attraction of ionized target material to the target. Both DC and HIPIMS processes showed similar hysteresis curves (42).
For a novel approach using modulated pulse power, extremely high deposition rates for alumina films of 12 mm h1 were
reported (14). The films showed a dense and glassy structure. Therefore, it seemed very attractive to use these films as insulating
layers for electrical applications. The reported high rates were due to a very specific sputtering configuration. For reactive sputtering
without feedback control, it was reported that very high breakdown voltages, i.e., excellent insulating properties, can be achieved
(25). A comparison of reactive MF-sputtered films and HIPIMS films showed that the HIPIMS films exhibit a significantly higher

(a)

(b)

Figure 29 FIB SEM pictures of Ti/Cu layers in a via with AR 10:1: (a) upper sidewall; (b) lower sidewall. Reproduced from Weichart, J.; Elghazzali, M.;
Kadlec, S.; Ehiasarian, A. P. 52nd SVC Annual TechCon Proc.; 2009, 201.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
94 High Power Impulse Magnetron Sputtering – HIPIMS

(c)

Figure 29 (continued).

breakdown voltage at reduced coating thicknesses. Figure 30 shows the breakdown voltage as function of the coating thickness for
HIPIMS and MF-sputtered films. For the determination of the breakdown voltage, a drop of saturated sodium chloride was applied
to the surface and a voltage was applied. The leakage current was measured while the voltage was ramped up. When the leakage
current exceeded 0.5 mA, the actual voltage was defined as breakdown voltage for that film.

4.04.4.2.4 Transparent Conductive Oxides


Indium tin oxide (ITO) is the most prominent transparent conductive oxide today. Nevertheless, efforts are made to replace ITO
with aluminum-doped zinc oxide (AZO). Both materials can be synthesized by HIPIMS with unique or superior properties to state
of the art.

4.04.4.2.4.1 Indium–Tin-Oxide
Sittinger et al. showed that using HIPIMS for the ITO deposition will change the resulting morphology and texture. It is reported that
the spike formation can be adjusted by charge voltage and oxygen flow (39). Horstmann et al. focused on investigating a HIPIMS-
ITO process for industrial production. Therefore, the requirements of the coat and bend process should be fulfilled. The focus was
the application of low emissivity coating based on ITO for exterior use, e.g., curved architectural or automotive glazing (30). The
deposition rate of the HIPIMS-ITO process was 5.5 nm*m min1*kW. Figure 31 shows the results of the taber test (DIN standard
52347) and the sand trickling test (DIN standard 52348). Both tests are commonly used for investigation of mechanical durability.

Figure 30 Comparison of breakdown voltage for reactive MF-sputtered and HIPIMS films as function of coating thickness.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
High Power Impulse Magnetron Sputtering – HIPIMS 95

Figure 31 HIPIMS ITO films: (a) performance in the taber test: lowest wear – lowest haze for HIPIMS-ITO and (b) results of impact resistance using
sand trickling test: lowest haze for HIPIMS-ITO. Reproduced from Horstmann, F.; Sittinger, V.; Szyszka, B. Thin Solid Films 2009, 517, 3178.

Figure 31(a) shows the haze as function of the number of rotations of the different test samples. The haze of the HIPIMS ITO is even
after 1000 cycles lower than the haze of uncoated glass. This means that there is a better wear resistance in this case. Figure 31(b)
confirms the results of the taber test with the sand trickling test. Here the haze is plotted as function of the mass of the falling sand.
With increasing mass of falling sand the haze linearly increases for both coatings, DC and HIPIMS. Again the HIPIMS film shows the
lowest haze (30).
Future applications of this process are passive ice-free windows for cars or glass bodies for homogenous heating of liquids.
Figure 32(a) shows the direct comparison of a conventional car window and a window coated with HIPIMS-ITO. Figure 32(b)
shows a coated distillation column. Using HIPIMS-ITO visual monitoring without optical distortions due to heating tapes becomes
possible. The right-hand side of this figure shows an IR image of the homogeneous heating area without the formation of hotspots
at the glass tube (41).

4.04.4.2.4.2 Aluminum-Doped Zinc Oxide


Aluminum-doped zinc oxide (AZO) was investigated for replacing ITO, e.g., in solar cells, due to the concern of indium shortage
and increasing price. For HIPIMS deposition of AZO, a reactive process from a metallic target was used. The deposition
temperature was 200  C. Sittinger et al. showed that HIPIMS can produce films with lower resistivity compared to DC sputtering.
The best film was deposited at a charge voltage of 1100 V and reached a minimum resistivity of 536 mU cm. With DC sputtering,
a resistivity of 758 mU cm was reached for optimized oxygen partial pressure. The visual transmittance of both DC and HIPIMS
was comparable (39).
Using the improved coatings in mini-modules, different processes were compared regarding their efficiency. Figure 33 shows the
efficiency of mini-modules from ZSW Stuttgart. Beside the good damp heat stability, also the efficiency for the HIPIMS sputtered
ZnO:Al shows the best performance compared to the other deposition techniques (40).

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
96 High Power Impulse Magnetron Sputtering – HIPIMS

(a)

(b)

Figure 32 (a) Ice-free effect on a windshield in a test bed at Fraunhofer IST and (b) heatable distillation column. Reproduced from Sittinger, V.;
Horstmann, F.; Boentoro, W.; Werner, W.; Szyszka, B. Proceedings of 1st Engineered Transparency, International Conference on Glasstec; 2010, 565–574.

4.04.4.2.5 Antibacterial Coatings


Also in the field of antibacterial behavior significant improvement by the use of HIPIMS is reported. Baghriche et al. report on the
deposition of silver coatings on polyester substrates. The inactivation of Escherichia coli was investigated for both DC and HIPIMS
coatings (4,5). Using HIPIMS the inactivation of E. coli was significantly improved.
Figure 34 shows the inactivation time of E. coli as function of the nominal coating thickness for both processes, DC and HIPIMS.
The comparison shows that DC sputtering saturates at an inactivation time of 5 h for films of 100 nm and more. HIPIMS reaches an
inactivation time of 2 h for coatings of more than approximately 50 nm (5).

Figure 33 The efficiency of the mini-modules made at ZSW Stuttgart. Beside the good damp heat stability also the efficiency for the HIPIMS
sputtered ZnO:Al shows the best performance compared to the other deposition techniques. Reproduced from Sittinger, V.; Szyszka, B.; Bandorf, R.;
Vergoehl, M.; Pflug, A.; Christie, D.J.; Ruske, F. 51st SVC TechCon Proceedings; 2008, 35.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
High Power Impulse Magnetron Sputtering – HIPIMS 97

Figure 34 Inactivation time of E. coli on Ag-coated polyester substrates as function of the coating thickness; comparison of DC and HIPIMS.
Reproduced from Baghriche, O.; Zertal, A.; Ehiasarian, A. P.; Sanjines, R.; Pulgarin, C.; Kusiak-Nejman, E.; Morawski, A. W.; Kiwi, J. Thin Solid Films
2012, 520, 3567.

4.04.5 Patent Situation

HIPIMS has matured in the last decade from academic research to industrial production. The new technology offers completely new
coatings or coatings with significant improved quality for a very broad range of applications. Since HIPIMS can be applied to
existing coating machines by changing the power supply and adjusting the cathodes, retrofitting of the existing resources offers a fast
technology change.
The growing number of filed patents, both on processes and equipment, documents the relevance and potential of the new
technology for industrial applications. A recent patent study by Fraunhofer IST gives an overview on the legal situation (24). The
filed and granted patents furthermore point the direction toward future development of the technology as well as expected
applications with high impact. The study focuses on patent families, i.e., a collective of claims filed in only one country or even
worldwide.
All in all, 71 patent families (by July 2012) were classified as HIPIMS patent family applications. These patent applications were
filed by more than 30 different companies and institutions. The most designated states are the United States and Germany; 60% of
all granted patents have legal rights in these two countries. The patent families were subdivided into patent families on methods and
equipment and patent families on coatings and applications (Figure 35).
The patents on coatings and applications are mostly granted in Europe. The most patent activities are found for transparent
coating systems, hard coatings, and tool coatings. In the case of the patents on methods and equipment, most patent activities are
found in the field of methods for coating and pretreating, plasma generation, and electronic devices. The patents on methods and
equipment are mostly granted in the United States.

Figure 35 Classification of today’s HIPIMS patent families filed/granted in the field of method and equipment/coatings and applications. Reproduced
from Fraunhofer IST, HIPIMS Patent Study, Braunschweig, DE, 2012.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
98 High Power Impulse Magnetron Sputtering – HIPIMS

4.04.6 Outlook

Starting from the late 1990s, HIPIMS has matured to a promising and attractive modification of magnetron sputtering for the
synthesis of coatings with significantly improved or completely new properties. Still, there are a number of challenges remaining for
this relatively new technology.
The theoretical description of HIPIMS processes is still in early stages, and today a transition from simple modeling of exper-
imental results to the generation of codes for the prediction of process and plasma properties is taking place. In combination with
expertise from plasma physicists from the field of fusion or space plasma, further understanding and a better theoretical description
as well as new approached for modeling are expected.
Basing on the promising results reported from academia, a scaling up toward industrial-size coating plants is required. Today, the
number of publications for results achieved with industrial-size machines is increasing. Additionally, with the scaling of the cathode
size, to some extent a scaling of the existing power supplies is required. On the other hand, there are already results reported on
cathodes of 1.5 m length and even longer showing the applicability of HIPIMS processes on a large scale.
Regarding commercial processes, there are already HIPIMS processes available and in production. Today most reported
processes are in the field of hard coatings. Still the so-called ‘killer application’ is missing to boost the commercialization and
broad-scale introduction of industrial HIPIMS. A number of promising results with the potential for breakthrough are reported
such as HIPIMS etching, which is already commercially used to some extent, reactive HIPIMS processes due to reducing the
hysteresis or even full avoiding it (depending on the working conditions), densification of coatings, realization of ultraclean
coatings, or realization of totally new properties like the scratch-resistant ITO coatings for, e.g., use in ice-free windows. Therefore,
starting with some applications today, HIPIMS will become part of industrial production. The speed of implementation and
acceptance will depend on finding that killer application and on the successful process development on industrial-scale
machines.

References

1. Anders, A. Surf. Coat. Technol. 2004, 183, 301.


2. Anders, A.; Andersson, J.; Horwat, D.; Ehiasarian, A. P. J. Appl. Phys. 2007, 102, 113303.
3. Anders, A.; Andersson, J.; Ehiasarian, A. P. J. Appl. Phys. 2007, 102, 113303.
4. Baghriche, O.; Ehiasarian, A. P.; Kusiak-Nejmana, E.; Pulgarin, C.; Sanjines, R.; Morawski, A. W.; Kiwi, J. J. Photochem. Photobiol. A: Chem. 2012, 227, 11.
5. Baghriche, O.; Zertal, A.; Ehiasarian, A. P.; Sanjines, R.; Pulgarin, C.; Kusiak-Nejman, E.; Morawski, A. W.; Kiwi, J. Thin Solid Films 2012, 520, 3567.
6. Bandorf, R.; Vergöhl, M.; Schiffmann, K.; Wallendorf, T. 49th SVC Annual TechCon Proceedings; 2006, 21.
7. Bandorf, R.; Vergöhl, M.; Giesel, P.; Wallendorf, T.; Mark, G. 50th SVC Annual TechCon Proceedings; 2007, 160.
8. Bandorf, R.; Falkenau, S.; Schmidt, V. 50th SVC Annual TechCon Proceedings; 2007, 477.
9. Bandorf, R.; Falkenau, S.; Schiffmann, K.; Gerdes, H.; Heckmann, U. 51st SVC Annual TechCon Proceedings; 2008, 59.
10. Bobzin, K.; Bagcivan, N.; Immich, P.; Bolz, S.; Alami, J.; Cremer, R. J. Mater. Process. Technol. 2009, 209, 165.
11. Bohlmark, J.; Östbye, M.; Lattemann, M.; Ljuncrantz, H.; Rosell, T.; Helmersson, U. Thin Solid Films 2006, 515, 1928.
12. Bugaev, S. P.; Koval, N. N.; Sochugov, N. S.; Zakharov, A. N. Proceedings of the XVIIth International Symposium on Discharges and Electrical Insulation in Vacuum;
Berkeley; CA, USA, July 21–26, 1996; p 1074.
13. Burcalova, K.; Hecimovic, A.; Ehiasarian, A. P. J. Phys. D: Appl. Phys. 2008, 41, 115306.
14. Chistyakov, R.; Abraham, B. 49th SVC Annual TechCon Proceedings; 2006, 88.
15. Christie, D. J.; Tomasel, F.; Sproul, W. D.; Carter, D. C. J. Vac. Sci. Technol. A 2004, 22 (4), 1415.
16. Christie, D. J. Vac. Sci. Technol. A 2005, 23, 330.
17. DeKoeven, B. M.; Ward, P. R.; Weiss, R. E.; Scholl, R. A.; Sproul, W. D.; Tomasel, F.; Anders, A. 46th SVC Annual TechCon Proceedings; 2003, 158.
18. Ehiasarian, A. P.; Münz, W.-D.; Hultmann, L.; Helmesson, U.; Petrov, I. Surf. Coat. Technol. 2003, 163–164, 267.
19. Ehiasarian, A. P.; Hovsepian, P. E.; Hultmann, L.; Herlmersson, U. Thin Solid Films 2004, 457, 270.
20. Ehiasarian, A. P.; Wen, J. G.; Petrov, I. J. Appl. Phys. 2007, 101, 054301.
21. Ehiasarian, A. P.; Vetushka, A. 52nd SVC Annual TechCon Proceedings; 2009, 265.
22. Ehiasarian, A. P.; Andersson, J.; Anders, A. J. Phys. D: Appl. Phys. 2010, 43, 275204.
23. Emmerlich, J.; Mráz, S.; Snyders, R.; Jiang, K.; Schneider, J. M. Vacuum 2008, 82, 867.
24. Fraunhofer IST, HIPIMS Patent Study, Braunschweig, DE, 2012.
25. Gerdes, H.; Bandorf, R.; Loch, D.; Bräuer, G. IOP Conf. Ser.: Mater. Sci. Eng. 2012, 39, 012009.
26. Gudmundsson, J. T.; Alami, J.; Helmersson, U. Appl. Phys. Lett. 2001, 78, 3427.
27. Hecimovic, A.; Ehiasarian, A. P. 52nd SVC Annual TechCon Proceedings; 2009, 240.
28. Helmersson, U.; Lattemann, M.; Bohlmark, J.; Ehiasarian, A. P.; Gudmundsson, J. T. Thin Solid Films 2006, 513, 1.
29. Helmersson, U.; Alami, J.; Eklund, P.; Andersson, J. M.; Lattemann, M.; Wallin, E.; Bohlmark, J.; Persson, P. Thin Solid Films 2007, 515, 3434.
30. Horstmann, F.; Sittinger, V.; Szyszka, B. Thin Solid Films 2009, 517, 3178.
31. Kouznetsov, V.; Macák, K.; Schneider, J. M.; Helmersson, U.; Petrov, I. Surf. Coat. Technol. 1999, 122, 290.
32. Lundin, D. Linköping studies in science and technology; Dissertations, ISBN 978-91-7393-419-0 2010, 1305.
33. Mozgrin, D. V.; Fetisov, I. K.; Khodachenko, G. V. Plasma Phys. Rep. 1995, 21, 400.
34. Paulitsch, J.; Mayrhofer, P. H.; Mitterer, C.; Münz, W.-D.; Schenkel, M. 50th SVC TechCon Proceedings; 2007, 150.
35. de Poucques, L.; Imbert, J.; Boisse-Laporte, C.; Bretagne, J.; Ganciu, M.; Teule-Gay, L.; Touzeau, M. Plasma Sources Sci. Technol. 2006, 15 (4), 661.
36. Sarakinos, K.; Alami, J.; Wuttig, M. J. Phys. D: Appl. Phys. 2007, 40, 2108.
37. Sarakions, K.; Alami, J.; Klever, C.; Wuttig, M. Surf. Coat. Technol. 2008, 202, 5033.
38. Sarakinos, K.; Alami, J.; Konstantinidis, S. Surf. Coat. Technol. 2010, 204, 1661.
39. Sittinger, V.; Ruske, F.; Werner, W.; Jacobs, C.; Szyszka, B.; Christie, D. J. Thin Solid Films 2008, 516, 5847.
40. Sittinger, V.; Szyszka, B.; Bandorf, R.; Vergoehl, M.; Pflug, A.; Christie, D. J.; Ruske, F. 51st SVC TechCon Proceedings; 2008, 35.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
High Power Impulse Magnetron Sputtering – HIPIMS 99

41. Sittinger, V.; Horstmann, F.; Boentoro, W.; Werner, W.; Szyszka, B. Proceedings of 1st Engineered Transparency, International Conference on Glasstec; 2010,
565–574.
42. Sproul, W. D.; Christie, D. J.; Carter, D. C. 47th SVC TechCon Proceedings; 2004, 96.
43. Vergöhl, M.; Werner, O.; Bruns, S.; Wallendorf, T.; Mark, G. 51st SVC TechCon Proceedings; 2008, 307.
44. Vergöhl, M.; Werner, O.; Bruns, S. Proc. of SPIE 2008; 2008; Vol. 7101, 71010B-1.
45. Vlcek, J.; Kudlacek, P.; Burcalova, K.; Musil, J. J. Vac. Sci. Technol. A 2007, 25 (1), 42.
46. Wallin, E.; Helmersson, U. Thin Solid Films 2008, 516, 6398.
47. Wallendorf, T.; Vergöhl, M.; Werner, O.; Bandorf, R. 51st SVC Annual TechCon Proc.; 2008, 3.
48. Weichart, J.; Elghazzali, M.; Kadlec, S.; Ehiasarian, A. P. 52nd SVC Annual TechCon Proc.; 2009, 201.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
This page intentionally left blank

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
4.05 Atomic Layer Deposition
M Leskelä, J Niinistö, and M Ritala, University of Helsinki, Finland
 2014 Elsevier Ltd. All rights reserved.

4.05.1 Introduction 101


4.05.2 Characteristic Features of ALD 102
4.05.2.1 Benefits 103
4.05.2.2 Limitations 104
4.05.3 ALD Chemistry 105
4.05.3.1 Metal Precursors 105
4.05.3.1.1 Elements 106
4.05.3.1.2 Metal Halides 106
4.05.3.1.3 Metal Complexes 107
4.05.3.1.4 Organometallics 108
4.05.3.2 Nonmetal Precursors 108
4.05.4 ALD Reactors 109
4.05.4.1 Conventional ALD Reactors 110
4.05.4.1.1 Reaction Chamber 110
4.05.4.1.2 Batch Reactors 111
4.05.4.1.3 Reaction Chamber Wall Temperature 111
4.05.4.1.4 Precursor Sources and Valving Systems 111
4.05.4.2 Plasma-Enhanced ALD Reactors 112
4.05.4.3 Spatial ALD Reactors 114
4.05.4.4 Other Reactor Configurations 116
4.05.5 ALD Applications 117
4.05.5.1 Industrial Applications 117
4.05.5.1.1 Magnetic Heads 118
4.05.5.1.2 Microelectronics 118
4.05.5.1.3 Protective Coatings 119
4.05.5.2 Other Applications 119
4.05.5.2.1 Energy Technologies 119
4.05.5.2.2 Optical Applications 119
4.05.5.2.3 Coatings on Powders and Polymers 120
4.05.5.2.4 Photocatalysts 120
4.05.5.2.5 Micro-electro-mechanical Systems 120
4.05.5.2.6 Nanotechnology 120
References 120

4.05.1 Introduction

Atomic layer deposition (ALD) is a technique for growing thin films for a wide range of applications. ALD is a special variant
of the chemical vapor deposition (CVD) technique where gaseous reactants (precursors) are introduced into the reaction
chamber for forming the desired material via chemical surface reactions. A characteristic feature of ALD is that the precursors
are pulsed alternately, one at a time, and separated by inert gas purging in order to avoid gas phase reactions (Figure 1). The
successive, self-terminated surface reactions of the reactants enable controlled growth of the desired material. The unique self-
limiting growth mechanism results in perfect conformality and thickness uniformity of the film even on complicated 3D
structures.
Thanks to its superior conformality, uniformity, and atomic level control, ALD has made a breakthrough in various applications
in modern technology. Especially in the microelectronics industry, ALD has become a mainstream technology enabling the
continuous shrinking of semiconductor devices and adoption of increasingly demanding high-aspect-ratio structures. Other
emerging areas of wide interest toward the ALD technology include photovoltaics, nanotechnology, photonics, and biotechnology
as well as energy-related applications (1).
On the one hand, a key issue in successful application of ALD is continuous development of the precursor chemistry. On the
other hand, ALD technology is also strongly dependent on the reactor design. These two factors are emphasized in this chapter. In
addition, basic features of ALD as well as application areas are described.

Comprehensive Materials Processing, Volume 4 http://dx.doi.org/10.1016/B978-0-08-096532-1.00401-5 101


www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
102 Atomic Layer Deposition

Figure 1 Schematics of an ALD growth cycle. Reproduced from Säynätjoki, A. Atomic-Layer-Deposited Thin Films for Silicon Nanophotonics; SPIE
Newsroom. http://dx.doi.org/10.1117/2.1201204.004218.

4.05.2 Characteristic Features of ALD

ALD processes and their potential as well as already existing industrial applications have been reviewed numerous times in the past,
and some very extensive reviews have been published too (2–6). ALD is a special variant of the well-known CVD method. However,
the differences between the ALD and CVD processes are obvious. In CVD the precursors react at the same time on the surface or in
the gas phase and precursors may also decompose, whereas in ALD the highly reactive precursors react separately via alternate
saturating surface reactions without self-decomposition. The ALD method is therefore controlled strictly by surface chemistry while
in CVD there are several elementary processes that can affect the growth. In ALD, the growth process proceeds in a cyclic manner and
can be described as follows and is schematically exemplified by Figure 1.
One ALD cycle consists of the following steps:
l pulse of the first gaseous precursor and its chemisorption onto the substrate,
l inert gas purging of the excess of the precursor and formed reaction by-products,
l pulse of the second gaseous precursor and its surface reaction with the adsorbate formed by the first precursor, and
l inert gas purging of the excess of the precursor and formed reaction by-products.

In order to achieve the surface saturative, self-limiting ALD process, the precursor dose needs to be large enough so that all the
available surface sites become occupied (Figure 2). Above the dose required for saturation the growth rate stays constant no matter
how large a dose is supplied. Naturally, the precursor self-decomposition would lead to a CVD-type growth mechanism and thus

Figure 2 Growth per cycle as a function of the pulse time (dose). The inset shows the growth per cycle with different growth modes over deposition
temperature.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
Atomic Layer Deposition 103

sufficient thermal stability of the precursor is required. Ideally the ALD growth cycle produces one atomic layer of the material.
However, due to steric hindrances or a limited number of reactive surface sites only a fraction of a monolayer is deposited per cycle.
Typical growth rates are less than half a monolayer per cycle.
There is usually a certain temperature range where the ALD process is self-limiting. In this temperature range the growth rate is
either temperature independent or only weakly dependent on the deposition temperature, which is controversial to CVD processes.
It is desirable to have a broad temperature range for the self-limiting ALD growth and as small as possible temperature dependence
within this range because these ensure the reproducibility of the film growth. Especially when a ternary material is to be deposited,
overlapping temperature ranges of the constituent binary processes offer a good starting point for the development of a ternary or
nanolaminate process. The observed growth rates vs. temperature in ALD processes are shown in Figure 2, inset.
The unique growth mode of ALD results in many advantages for film growth and properties as already briefly stated. However, it
is useful to also take a critical look at the limitations of the method. Detailed discussion about the pros and cons is presented in the
following section.

4.05.2.1 Benefits
Conformality and large area uniformity: The self-limiting growth mode of ALD leads to excellent conformality and uniformity of the
grown films. As long as the precursor dose is large enough to saturate all the available surface sites, perfectly conformal films can be
deposited on complex structures, like high-aspect-ratio trenches and nanostructures (Figure 3). Large area uniformity can be easily
achieved; even 1.2 m  0.6 m substrates have been coated with Al2O3 with thickness nonuniformity of 1% (7,8).
Precise thickness control: Straightforward thickness control is obtained by varying the number of deposition cycles. Ultrathin films
with subnanometer thickness as well as thick films of 1 mm and even more can be grown.
Dense and homogeneous films: Films deposited with ALD are generally dense, continuous, homogeneous, and pinhole-free.
Sometimes defects may be detected in the case of ultrathin films, usually caused by problems in nucleation because of a lack of
reactive surface sites on the starting surface.
Composition control, multilayer deposition, and doping: As in the case of thickness control, composition can be easily controlled.
Dopants can be imported by adding cycles of dopant material in between the host material growth cycles. In a similar way,
deposition of nanolaminates and other multilayers is straightforward.
Reproducibility: The self-limiting growth mechanism ensures good reproducibility and straightforward scale-up of the processes.
Especially for industrial applications, these factors make ALD an appealing technique.
Low thermal budget: For many applications, a low deposition temperature is sought after. Growth temperatures in ALD processes
can be considerably lower than in CVD processes. Typical reaction temperature in an ALD process is in the range of 200–350  C.

Figure 3 Examples of conformality of the grown Sb films achieved by ALD (a) cross-section field-emission scanning electron microscope (FESEM)
image of a Sb film deposited on a high-aspect-ratio trench structure. (b)–(d) FESEM images of Sb nanotubes prepared using a porous alumina
membrane as a template. The deposition temperature was 100  C, and the number of ALD cycles was 1000. Pulse/purge times were 2/10 s for SbCl3 and
4/10 s for (Et3Si)3Sb. Et ¼ ethyl. Reproduced from Pore, V., Hatanpää, T., Ritala, M., Leskelä, M. J. Am. Chem. Soc. 2009, 131, 3478. Reprinted with
permission.  2011, American Chemical Society.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
104 Atomic Layer Deposition

Lower temperatures as well as higher temperatures are, however, often applied. Plasma-enhanced ALD can lower the growth
temperature of a thermal ALD process substantially.

4.05.2.2 Limitations
Slowness: The most important limiting factor of ALD is the slowness of the method. Precursor pulses and purging in between takes
time, causing the effective deposition rate to be lower than in the case of CVD, for example. Typical deposition rates of ALD
processes are in the range of 40–130 nm h1 (7). The growth rate in an ALD process is affected by both the process chemistry and
reactor configuration. The chemistry defines the thickness increment per cycle and how fast the saturation can be reached, while the
reactor configuration is critical for how rapidly the gas phase composition can be changed from one precursor to the other. With fast
chemistry and single wafer traveling-wave reactors the cycle time can be squeezed even below 0.5 s (e.g., 350 nm h1 for Al2O3).
Batch reactors, on the other hand, can accommodate tens of substrates and thereby increase the throughput significantly (7,9).
Further increase of throughput is looked for with spatial ALD reactors that are under active development (Section 4.05.4).
Limited materials selection: Another limitation often considered in ALD is the materials selection that can be effectively grown by
ALD. Several technologically interesting materials, such as Si, Ge, Cu, multicomponent oxide superconductors, and many transition
metals, are lacking effective and production-worthy processes. However, the list of available processes (5) is continuously
expanding. In addition, with plasma-enhanced ALD (PEALD) the materials selection is likely to be increased with an increasing
rate (10).
Impurities: Impurities from precursor molecules are always incorporated to some extent in ALD films as characteristic of chemical
techniques. The actual residual concentrations are highly process dependent, and their significance is application dependent. Good
ALD processes result in impurity contents below the detection limits of most surface analytical tools, i.e., in the range of 0.1 at.%,
while some other processes may leave a few at.% of impurities, yet the films show reasonably good materials properties.
ALD nonidealities: There are also a number of unwanted characteristics that are more or less frequently observed in ALD processes.
As these are not common to all ALD processes, they should not be considered as limitations of the method itself but as process
specific deviations from the ideal ALD growth. One may define the ideal ALD process to be completely saturating and self-limiting,
depositing an exact monolayer in every cycle over a reasonably wide temperature range, fully preserving the substrate surface
smoothness, and releasing by-products that are readily removed from the reactor.
Usually the growth rate in ALD is not an exact monolayer per cycle even if the process otherwise fully follows the ALD principle.
Still, the film build-up can take place layer by layer; it just takes more than one cycle to complete one monolayer. Therefore the less
than a monolayer per cycle growth rate alone is rarely a problem.
During the very first cycles, ALD film is deposited on the starting surface, which is either a substrate or an underlying film, while
during the rest of the process the growth occurs on the film material itself. If the starting surface differs chemically from the film
surface, the growth rate is not necessarily constant but may change quite substantially as the surface becomes covered by the film.
Usually the growth rate is slower at the beginning, during the nucleation period, and it takes a certain number of cycles before the
linear correlation between the film thickness and the number of ALD cycles is reached. This kind of retarded nucleation has been
observed, for example, in the technologically important application of ALD of high-k oxides on hydrogen terminated silicon from
which the native oxide has been removed.
The deposition rate may also be somewhat temperature dependent. This is due to temperature dependent density of precursor
molecules in the saturated chemisorption layer which, in turn, is affected by either temperature dependent density of reactive sites or
temperature dependent structure of chemisorbed precursor molecules. Anyhow, the temperature dependency of the growth rate is
usually so weak that requirements on temperature control and uniformity of the reaction chamber are not as strict as in CVD.
The growth is often not truly self-limiting because the precursors also thermally self-decompose. The higher the temperature, the
higher the contribution arising from the self-decomposition. In fortunate cases, like when using metal alkoxides for ALD of oxides,
the decomposition product is the same as that to be deposited by ALD. As long as the self-decomposition remains reasonably slow,
it is likely to proceed in a surface reaction rather than a diffusion limited manner, and thus maintain film uniformity and con-
formality reasonably well.
Instead of exactly reproducing the substrate surface morphology ALD growth has often been observed to cause roughening of the
surface at the nanometer level while still showing excellent conformality on larger scales. The roughening appears characteristic of
polycrystalline films, whereas amorphous and epitaxial films usually retain the substrate surface smoothness. The dominant factor
with respect to the surface morphology of amorphous and epitaxial films is the minimization of the surface area, which favors
atomically smooth films because either the surface energy is isotropic (amorphous films) or the crystal orientation is dictated by the
substrate (epitaxial films). With polycrystalline films the competitive crystal growth of the originally more or less randomly oriented
nuclei leads to roughening of the surface as the film grows thicker. The roughening is further emphasized by the retarded nucleation
discussed above: the lower the nucleation density, the rougher the film becomes because the crystallites have a longer time to grow
independently before coalescing to a continuous film (11).
Many reaction by-products are polar molecules and as such show reactivity toward the surface. If the by-products readsorb on the
surface, they can block adsorption sites from the precursor molecules and thereby decrease the growth rate. Furthermore, if the by-
product readsorption does not occur uniformly across the substrate, it can lead to thickness nonuniformity. Therefore it is likely to
have a more severe effect in the cross-flow reactors than in the perpendicular flow reactors (see Section 4.05.4) because in the former,
different substrate areas can experience substantially different exposures to by-products. If the film growth begins only at the vicinity

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
Atomic Layer Deposition 105

of the leading edge of the substrate (closest to the precursor inlet), this part becomes exposed to only a small amount of by-product
molecules while the trailing edge (farthest away from the precursor inlet) will receive a substantially higher amount. The effect of by-
product adsorption is further emphasized by the fact that in the cross-flow reactors the by-products travel in front of the precursor
pulse that is producing them (2). By contrast, in properly designed perpendicular flow reactors, the film growth begins everywhere at
about the same time, and hence the effect of by-product readsorption should be more uniform too.
In the most severe cases reactive by-products can etch the film material or the underlying material. Another possible reason for
film nonuniformity is slow etching of the film material by the precursor molecules themselves. In the worst case the etching may
fully prevent the film growth. It is also possible that the precursor molecule reacts directly with the underlying material. For
a thorough discussion of factors affecting film uniformity, see Ref. (12).

4.05.3 ALD Chemistry

As a chemical vapor deposition technique, ALD relies on the successful precursor chemistry. Table 1 summarizes the requirements
set to the ALD precursors.
Volatile precursors with sufficient vapor pressure are needed – a common estimate for the required volatility is 0.1–1 Torr at
temperatures that can be reached with the sources in use. ALD has special requirements for the precursors compared to CVD since in
ALD the precursors are introduced one at the time onto the substrate surface. Besides volatility, reactivity and thermal stability are
crucial prerequisites for ALD precursors. Reactivity means that the incoming precursors should react fast and completely with the
species left on the surface by the other precursor; the higher the reactivity, the faster the surface is saturated and the shorter the ALD
cycle can be made. Complete reaction is also essential for film purity. Decomposition of the precursor is not allowed because then
the surface control is lost, and consequently homogeneity and conformality – the important properties of ALD films – cannot be
achieved. Unfortunately, reactivity and thermal stability are often controversial properties and often there is a need to balance
between these properties.
There are several other properties that are desirable for ALD precursors, such as stability in storage and in the heated source,
low toxicity, low price, and ease of handling. Precursors can be solids, liquids, or gases. In CVD solid precursors are problematic
since the evaporation rate of solids is often not stable, resulting in nonstable precursor fluxes into the CVD reactor. Due to the
self-limiting film growth mechanism, the precursor flux stability is not as important in ALD as in CVD but still, especially in
industrial use, liquid and gaseous precursors are desired. Therefore one driving force in ALD precursor development is searching
for precursors that are liquid at the source temperature. The small size of the precursor molecule is one benefit, since it enables
higher growth rate.
Low reactivity of the precursors can be enhanced by using plasma assistance. PEALD is useful also when temperature sensitive
substrates are employed since with plasma assistance the deposition temperatures can be significantly lowered compared to thermal
ALD. Plasma assistance also gives high growth rate and film purity at these low deposition temperatures. The use of plasma has
expanded the ALD process and thin film material selection to non-noble metal and certain nitride films (10).

4.05.3.1 Metal Precursors


According to recent reviews more than 300 metal precursors have been studied in ALD to deposit films of about 160 different
compositions. The number of processes studied is rather high, but many of the processes do not work very well and suffer from the
precursor problems described above: thermal unstability and reactivity.
The volatile metal precursors can be divided in four categories: elements, inorganic compounds, complex compounds, and
organometallics (Figure 4). In addition, a recent trend in tuning the precursor properties has involved heteroleptic complexes,
i.e., complexes that contain two or more different ligands and therefore do not directly fall into these main categories but will be
viewed as their modifications. Interestingly, heteroleptic compounds show different properties than their homoleptic counter-
parts. In the heteroleptic complexes one type of ligand can provide stability and the other reactivity. One good example is
heteroleptic Zr(Cp)(NMe2)3, where the cyclopentadienyl ligand gives thermal stability and alkylamides reactivity (13). The
homoleptic alkylamide complexes are thermally quite unstable; the decomposition temperature of Zr(Cp)(NMe2)3 is around
325  C while that of Zr(NMe2)4 is below 300  C. In some cases the heteroleptic compounds can show good properties although

Table 1 Summary of ALD precursor requirements

Essential properties Desirable but not necessary

Volatility Unreactive volatile byproducts


Fast and complete reactions Inexpensive
No self-decomposition Easy to synthesize and handle
No etching of the film or substrate material Nontoxic and environmentally friendly
No dissolution into the film substrate
Sufficient purity

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
106 Atomic Layer Deposition

Figure 4 Examples of ALD precursor types.

the parent homoleptic compounds are both thermally unstable. Two examples from this are Ti(NiPr-amd)(iOPr)3 (NiPr-
amd¼di-N,N-isopropylacetamidinate) and Ti(NMe2)2(iOPr)2, which show ALD-type growth characteristics at 375 and 325  C,
respectively, while the homoleptic counterparts already show decomposition at 300  C (14).

4.05.3.1.1 Elements
The only metallic elements that have been extensively explored in ALD are Zn and Cd, which have been used for depositing II–VI
semiconductors (15). The use of other metallic elements, apart from Hg, is limited by their low volatility. Zn and Cd react efficiently
with elemental chalcogens, and the lack of sterically demanding ligands may enable a full one monolayer per cycle growth as
exemplified by some II–VI compounds on single crystal substrates (15–17).

4.05.3.1.2 Metal Halides


Metal halides are stable molecules; many of them are volatile and highly reactive, making them almost ideal for ALD. Good oxide
processes with water as an oxygen source have been reported for many chlorides such as AlCl3, TiCl4, ZrCl4, and HfCl4. Processes
using TaCl5, SnCl4, and InCl3 have also been reported, but the growth rate has been relatively low. The success in the use of
nonmetal chlorides (BCl3, PCl3, SiCl4, GeCl4) with water for oxide processes has been poor. Some metals have volatile oxo-
chlorides (VOCl3, WOCl4) that are usable precursors for ALD of oxides. Volatile metal chlorides are good precursors to be used
with H2S and alkyl silyl selenium and tellurium precursors. From sulfides, the most well-known example is ZnS and its doped
form ZnS:Mn, which is used in electroluminescent flat-panel displays as a yellow-emitting layer (15,18,19). Another example is
In2S3, a potential material for thin film solar cells, which can be made from chlorides and hydrogen sulfide (20). GeTe, Sb2Te3,
and Ge2Sb2Te5 represent chalcogenides made from metal chlorides and alkyl silyl chalcogenides (21,22). Metal chlorides (AlCl3,
GaCl3, TiCl4, NbCl5, TaCl5, MoCl5) react with ammonia and form nitrides (23,24). Due to the lower reactivity of ammonia
compared to water, higher temperatures have to be used in nitride ALD processes, but despite that the chloride residues in nitride
films tend to be higher than those in oxide films. In transition metal nitrides the desired conductive nitride has a 1:1 stoichi-
ometry, which requires reduction of the þ4 and þ5 metal ions to the þ3 oxidation state. Several reducing agents (zinc, dimethyl
hydrazine, allyl amine) have been studied (25). Trimethylaluminum (TMA) serves as an interesting reducing agent but leaves
aluminum and carbon residues. Anyhow, for example in the case of Ta(Al)N(C), the material properties are good enough for
conducting barrier use (26).
Metal chlorides are criticized as ALD precursors because of the formation of HCl as a by-product. HCl may cause problems in the
form of corrosion. It potentially can also readsorb and react with the surface and block the surface sites, causing growth rate decrease
(27). Chlorine residues in the film may affect the electrical properties and may make the film unstable. If deposition temperatures
are high enough, the chlorine contents of the oxide films remain well below 1 at.%, however, and the weakening of the film’s
properties remains minimal. Due to their many positive properties (price, stability, reactivity) chlorides are used in ALD in
large scale.
Many metal bromides are also volatile. Benefits in their applications in ALD compared to chlorides are limited. They are usually
less stable, more expensive, and larger molecules than chlorides. Metal iodides, on the other hand, have been studied in ALD more
than the bromides. Deposition of oxide films has been reported for TiI4, ZrI4, HfI5, NbI5, TaI5, CoI2, SnI4 (28), nitride for TiI4, and
sulfide for ZnI2 and PbI2. In oxide processes both water, hydrogen peroxide and molecular oxygen have been employed as the
oxygen precursor. The use of O2 is interesting but requires high deposition temperatures indicating partial decomposition of the
metal iodide.
A few volatile transition metal fluorides exist for group 4–6 metals. From those WF6 is by far the most studied. It can serve as
a precursor in metal, nitride and nitride carbide ALD. The reduction of WF6 to metal by silanes and the application of metal films
have been thoroughly studied (29,30). Reports on the use of metal fluorides in ALD oxide processes are scarce. TaF5 has been used

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
Atomic Layer Deposition 107

together with water for the ALD of Ta2O5 (31), but for example tungsten hexafluoride cannot be used for oxide deposition because
of an etching problem. Instead, oxyfluoride WOF4 has been utilized successfully in oxide ALD. On the other hand, transition metal
fluorides have been used as innovative fluoride precursors in ALD of MgF2, CaF2, YF3, and LaF3 thin film (32).

4.05.3.1.3 Metal Complexes


The most important volatile metal complex types are alkoxides, b-diketonates, alkylamides, alkyl silyl amides, and amidinates
(guanidines). By number the largest group is formed by b-diketonates, acetylacetones (acac) and 2,2,6,6-tetramethyl-
3,5-heptanedione (thd) complexes being the most common. The chelate structure formed by the two oxygen atoms from each
ligand coordinated to the metal stabilizes the metal b-diketonates. The acac ligand is suitable only for small metal ions while the thd
complexes studied in ALD expand from Li to alkaline earth metals, rare earths, several transition metals, lead, and bismuth (4,5).
The metal b-diketonates have been used in deposition of oxide, fluoride, sulfide, and metal films. The advantages of the b-diket-
onates are simple synthesis, stability, and modest price. Some of them are air stable, and the rest can be exposed for a short time to
air. The disadvantages are oligomeric structure in case of large cations (alkaline earth and rare earth metals), which may limit the
volatility (33), and low reactivity toward water. The reactivity and thermal stability have been balanced with heteroleptic complexes
such as Ti(OiPr)2(thd)2, which has allowed the use of water as an oxygen source at 370  C in deposition of SrTiO3 films (34). If the
temperatures needed for reactions with water exceed the decomposition temperature of the thd-complex, the oxygen precursor has
to be changed to ozone or oxygen plasma. Those efficient oxidation agents may however decompose the b-diketonate ligand to
carbonate, and for example in the case of alkaline earth and rare earth metals, the resulting film is not oxide but carbonate (35).
Although the reaction between thd complexes and water is not very favorable, the reaction with hydrogen sulfide to sulfide films is
efficient. Many metal sulfides, but in particular alkaline earth sulfides, which have been studied as potential host materials for thin
film electroluminescent displays, have been deposited by this process (36). The thd complexes are also good precursors for metal
fluoride films in reaction with volatile transition metal fluorides (32). In ALD of noble metal and noble metal oxide films,
b-diketonates are possible alternative precursors (37). The deposition process in formation of noble metal films with O2 is based on
oxidative decomposition of the metal precursor where oxygen atoms activated by the noble metal film itself combust the ligands
and the mechanism is quite independent of the ligand-type (38). When ozone is used instead of O2, the resulting film is noble metal
oxide (39).
Metal alkoxides are less important ALD than CVD precursors because of their limited thermal stability. The lower stability of
alkoxides compared to b-diketonates originates from the single metal–oxygen bond. In CVD of oxide films the decomposition is
beneficial but in ALD an unwanted side-process. Several well behaving alkoxide based ALD oxide water processes are known,
however, such as Al2O3 using ethoxide or propoxide, TiO2 using methoxide, ethoxide, or iso-propoxide, and Nb2O5 and Ta2O5
using ethoxides (5). Besides the simple alkoxo ligands branched ligands such as tert-pentoxo, 2,3-dimethyl-2-butoxo (dmp), and
2-methyl-3-pentoxo (mp) have been used. Stabilization of alkoxides has been studied by adding donor functional group such as
keto (1-methoxy-2-methyl-2-propoxo, mmp) and amino groups (dimethylaminoethoxo, dmae) in order to produce a chelate
complex. A lot of studies have been carried out with these functionalized alkoxides to deposit Zr, Hf and rare earth oxide films, and
results are better than those obtained with simple alkoxo complexes (40,41). One of the few successful nickel oxide processes
utilizes functionalized alkoxide (1-dimethylamino-2-methyl-2-propanole) where a dimethylamino group has been added to the
end of the alkyl chain of the alkoxo ligand. Water cleaves the ligand and NiO film is formed (42). Precursors containing two metals
are rare in ALD, but one successful example is SrTa2(OEt)10(dmae)2 which has been used in deposition of SrTa2O6 and SrBi2Ta2O9
films (43).
Alkylamides form a large group of potential ALD precursors. Most attention has been given for group 4 metal dimethyl, diethyl,
and methylethyl amides, which show thermal stability up to 250–300  C (44,45). Alkyl amides react with both water and ozone,
and good results have been obtained with ZrO2 using ozone as the oxygen source. Permittivity values around 40 and CET
(capacitance equivalent thickness) of 0.8 nm have been reported for these high-k oxides (46). As mentioned above, use of mixed
ligand complexes, in this case cyclopentadienyl-alkylamide, improves the precursor properties of Zr and Hf when compared to the
homoleptic complexes (13,47,48). Many other metal alkylamides (Al, Ti, Ga, Ge, Sb, Ta, W) have been studied for deposition of
oxides, but thermal stability of the metal precursor has been an issue. Since the alkylamides contain a metal–nitrogen bond they
have attracted interest as precursors for nitride films (AlN, TiN, ZrN, HfN, TaN, MoNx, WNx) (4,5). A substantial amount of studies
have been carried out to deposit TaN films from alkyl amides and ammonia. Thermal stability of the metal precursor and low
reactivity of ammonia below 300  C have been the main limitations, and thermal ALD processes have resulted in amorphous as-
deposited films with plenty of impurities (49). The use of plasma (ammonia, nitrogen or hydrogen) improves the quality of the
nitride films (50).
Alkyl silyl amides form volatile metal complexes that have been studied in ALD of Zr, Hf, Bi, and rare earth oxides. The role of
silicon is to add size of the alkyl amide ligand and thereby protect the metal ion and stabilize the complex. In the case of rare earth
metals and bismuth the results with water are promising (41,51), but thermal stability of the precursors is usually a concern. Li
forms an exceptionally stable complex with HMDS (hexamethyldisilazide), which can be employed even at 400  C (52). When
ozone is used in the oxide process, silicon remains in the film. This has been confirmed in rare earth and bismuth cases, and
LiHMDS forms with ozone pure lithium silicates.
Amidinates have two metal–nitrogen bonds, and they relate to amides analogously to how b-diketonates relate to alkoxides. The
chelate effect should make the amidinates thermally more stable than amides, while the metal–nitrogen bonds should provide
amidinates higher reactivity compared to the b-diketonates with metal–oxygen bonds. Properties of the amidinates can be varied by

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
108 Atomic Layer Deposition

changing the alkyl groups in the two nitrogen atoms. Too-small alkyl groups may result in oligomeric complexes, and monomeric
complexes are obtained with bulkier groups like t-butyl (53). Amidinates have been used in deposition of many oxides, including
challenging rare earth oxides (53,54). More interestingly, amidinates are the first precursors allowing the deposition of the first series
transition metals (Fe, Co, Ni, Cu) by a simple thermal process with hydrogen (55). In addition, ruthenium films have been grown
from amidinate (56). Closely related to amidinates are the guanidinate anions of the general type [R2NC(NR0 )2] (R ¼ alkyl, SiMe3;
R0 ¼ alkyl, cycloalkyl, aryl, SiMe3) having an additional amino group in the mid-carbon atom. Guanidinates have shown similar
promises as amidinates as ALD precursors (57).

4.05.3.1.4 Organometallics
Alkyl and cyclopentadienyl compounds are the most important organometallic (contain metal–carbon bond) ALD precursors.
Carbonyl, phenyl, 1,5-cyclo-octadienyl, 1,3-cyclohexadienyl, and cycloheptadienyl compounds have been studied only for
isolated metals (4,5). The number of volatile alkyl compounds is limited, but group 12–14 metals form volatile, reactive and
often liquid alkyl compounds very suitable for ALD. Methyl and ethyl compounds are the most often used alkyl compounds.
TMA is by far the most studied and used ALD precursor. It shows an almost ideal ALD reaction with water depositing Al 2O3 over
a wide temperature range (4,58). The TMA–water process is extensively utilized for dielectric and protective ALD coatings in
a controlled manner. Versatility of TMA is shown in its good reactivity with ozone and oxygen radicals to oxide. Alkyl compounds
of the other group 13 metals (Ga, In) are very extensively used in MOCVD of III–V semiconductors, but in ALD their role has been
much smaller. ALD (ALE) of III–V compounds was extensively studied in the late 1980s and early 1990s, but the processes
required temperatures where the decomposition of the alkyl compounds begun resulting in carbon contamination in the films
(59). Diethyl zinc (DEZ) is, after TMA, the second most widely used ALD precursor. It, as well as dimethyl zinc, reacts readily with
water to zinc oxide and with hydrogen sulfide to zinc sulfide (60–62). Polycrystalline films can be grown in a wide temperature
range from room temperature to 500  C (5).
Cyclopentadienyls (Cp, C5H 5 ) form the other important group of organometallic ALD precursors. In Cp compounds the metal
is coordinated to all five carbon atoms in the Cp ring and this gives shielding and stability while still keeping the reactivity. The Cp
rings can be substituted to increase the bulkiness of the ligand, and it is also possible to bridge two rings together to an ansa-
compound. Cp compounds of alkaline earth metals (Mg, Ca, Sr, Ba) are very important because they enable the growth of oxide
films at reasonably low temperatures using water as an oxygen source, which is not possible with the alternative thd-precursors. Cp
compounds of alkaline earth metals are utilized especially for multicomponent oxides SrTiO3 and BaTiO3 (63,64). The suitability of
Cp ligands for electropositive large metal ions is also seen with rare earth compounds. Several rare earth Cp compounds have been
used for deposition of oxide films (65). Cyclopentadienyls of Zr and Hf have played an important role in studies of high-k oxides for
microelectronics. Both Cp2MCl2 and Cp2M(CH3)2 have shown good ALD behavior in reactions with water and ozone (48,65,66).
As mentioned above, heteroleptic compounds containing both Cp and alkyl amide ligands have been even more promising ALD
precursors for ZrO2 and HfO2 (13,47). Cp compounds have also been used in deposition of noble metal films when reacting with
O2 and noble metal oxide films when ozone is used. In the case of ruthenium the simple Cp (RuCp2) or substituted Cp
(Ru(CpEt)2), Et ¼ ethyl, Ru(CpEt2)2, Ru(CpMe)(CpEt) compounds have been employed (67,68) but different heteroleptic
compounds such as Pt(CpMe)Me3 (Me ¼ methyl), Ir(CpEt)(cod) (Et ¼ ethyl, cod ¼ cyclo-octatriene) are also applicable (69). Even
related pyrrole compounds, where one of the carbon atoms in the five-member ring has been replaced by nitrogen, have shown
promising results (70).

4.05.3.2 Nonmetal Precursors


Metal oxides are the materials most often deposited by ALD. Water vapor is the most often employed oxygen precursor. The reaction
relies on surface hydroxyl groups which serve as adsorption sites for the metal precursor. The ligand exchange reaction with water
vapor recovers the OH groups on the surface and releases the ligands from the metal ion in their protonated form without any
fragmentation. Hydrides in general are useful precursors for a nonmetal element. Those studied in ALD include H2S, H2Se, H2Te,
NH3, PH3, AsH3, and HF (4,5). The toxic selenium, tellurium and fluorine hydrides have had only limited use and phosphine and
arsine have been needed in ALE of III–V semiconductors. Instead ammonia has been very widely used for deposition of different
nitride films. In thermal processes the reactivity of ammonia below 300  C is limited, and films may contain high amounts of H, C,
and O contaminants. Alkylation of hydrides makes them less toxic, and several alkylated compounds like tBuNH2, tBuAsH2, and
fully alkylated compounds like (Me2N)3P and (Me2N)3As have been tried but they may leave carbon contaminations. H2S is also
toxic but much less so than H2Se. H2S is widely used in ALD of sulfide films. It reacts effectively with many metal precursor types
(halides, metal complexes, organometallics). The reaction mechanisms in sulfide and nitride ALD processes have been scarcely
studied. It is obvious though that the surface S–H and N–H groups play a vital role. Alkyl silyl compounds (R3Si)nX offer
a convenient alternative for tellurides, selenides (21), and antimony (71), and possibly also some other nonmetals. They are
moderately volatile liquids or solids and thus safer to use than the gaseous and toxic hydrides. Alkyl silyl nonmetals react efficiently
with metal chlorides, releasing (R3Si)nX as a by-product (72), but with other metal precursors the reactivity has been found to be
poor so far.
H2, N2 and O2 molecules are quite inactive in normal ALD conditions. There are a few examples where reduction of metal
precursor to metal films can occur, amidinates being the most well-known case. In growth of noble metal films O2 is used as
a reactant, but there it is catalytically activated by dissociative adsorption to atomic oxygen on the noble metal surface. N2 is inactive

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
Atomic Layer Deposition 109

at temperatures used in ALD, and nitrogen is commonly used as a carrier and purge gas. While H2, N2 and O2 molecules cannot be
generally used in thermal ALD processes they are common reactant gases in radical- and plasma-enhanced ALD of metals, nitrides
and oxides, respectively.
Ozone has become a popular oxygen precursor, since in some cases avoiding water in oxide processes may be useful. Ozone is
a strong oxidizer and is beneficial when oxidizing reactions are needed. Hydrogen peroxide is another alternative for oxidation.
Ozone is made from O2 and is therefore accompanied by an excess of O2. The difference in oxidation power between O2 and O3 can
be seen in reactions with noble metal precursors where O2 produces metal and O3 metal oxide films. In ALD processes ozone seems
to oxidize the carbon and hydrogen in the ligands to CO, CO2, and H2O, and the water formed may play a role in the film growth
process.
Some solid nonmetal elements can be used as ALD precursors. These include chalcogens (S, Se, Te), which have been used to
deposit II–VI semiconductors (15–17).

4.05.4 ALD Reactors

ALD reactors naturally have many similarities to CVD reactors (Figure 5). The main difference arises from the need to expose the
substrates alternately to the precursor vapors hundreds or even thousands of times in each ALD process while at the same time
keeping the precursors carefully separate from each other. In most ALD reactors this is done by keeping the substrates stationary

Figure 5 Reactor chamber designs in flow-type ALD reactors. On the right, substrate placement in a batch ALD reactor is shown. Reproduced from
Ritala, M., Niinistö, J. Atomic Layer Deposition. In Chemical Vapour Deposition: Precursors and Processes; Jones, A. C., Hitchman, M. L., Eds., Royal
Society of Chemistry, 2009; pp 158–206.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
110 Atomic Layer Deposition

and pulsing the precursors alternately into the chamber. The precursor pulses are separated by purging or evacuation steps.
An alternative approach, which was demonstrated already in the very beginning of ALD and gained renewed interest recently, moves
the substrates from one continuous precursor flow to another with a purge gas in between keeping the precursor flows separate. As
opposed to the conventional ALD reactors, the latter are called spatial ALD reactors.
Productivity considerations require completion of an ALD cycle as fast as possible, and this has been a key criterion in designing
especially industrial ALD tools. Some relaxation of the ALD reactor design, temperature uniformity requirements in particular,
comes from the weaker temperature dependence of the ALD processes as compared with CVD processes. The self-limiting growth
mechanism in turn means that in ALD the precursor flows do not need to be as uniformly distributed over the substrates as in CVD.
However, these relaxations apply only to the true ALD processes; if there is any CVD component involved, this must be taken into
account in the reactor design, which thereby approaches CVD reactors.
While most ALD processes are solely thermally activated, additional activation by plasma discharges has been gaining
substantial importance for both lowering the deposition temperatures and for depositing materials that are not achievable with
purely thermally activated chemistry. Many alternatives exist for adding plasma sources to the ALD reactors, leading to a variety of
PEALD reactor designs. This chapter mostly focuses on the conventional ALD reactors, also including plasma-enhanced ALD
reactors, but it also gives an overview of the spatial ALD tools. Detailed discussions of ALD reactors can be found for example in
Refs. (2,9,60,73–76).

4.05.4.1 Conventional ALD Reactors


In the conventional ALD reactors the substrates are fixed in the chamber, and the gas phase composition of the chamber is
repeatedly changed: first precursor/purge or evacuation/second precursor/purge or evacuation. ALD processes have been run over
a wide range of operation pressures from ultrahigh vacuum to atmospheric, and even supercritical fluid has been demonstrated
(77). However, most reactors seem to be of the flow-type, where a continuous flow of inert gas, nitrogen or argon, is used for
precursor transportation and purging, and the pressure is commonly in the range of 1–10 Torr. Purging is usually preferred over
evacuation because it is faster.
Because inert gas is used with high flow rates from 0.5 slm in small research reactors to some tens of slm in the largest production
reactors, the inert gas serves potentially as the largest source of impurities in the process. Reactive residual contents must be at least
on a ppm level, in the most sensitive processes even far below.

4.05.4.1.1 Reaction Chamber


Depending on the number of substrates, ALD reactors are divided to single wafer and batch reactors. The single wafer reactors are
usually of either the cross-flow (also called as a flow-channel or traveling-wave reactor) or perpendicular-flow type (Figure 5). Either
a showerhead or a more simple gas dispersing device is used in the perpendicular-flow reactors to distribute the precursors
uniformly. In the simplest case there may just be precursor inlets on top of the chamber, and the uniformity is ensured simply by the
self-limiting ALD growth mechanism. If the top wall with the inlet port is brought just above the substrate and susceptor (Figure 5),
the reactor becomes converted to a radial flow-channel reactor with the same benefits and concerns as in the normal cross-flow
reactor (see below), but in a radial symmetry.
The cross-flow reactor allows faster cycling and thereby higher throughput than the perpendicular-flow reactor. The faster
cycling is made possible by the geometry of the flow channel between the substrate and the top wall, or between a pair of
substrates as is often the case in the batch reactors. The height of the flow channel can be made very small, down to a millimeter
range, by bringing the opposing surfaces very close to each other. When being transported along such a channel, precursor
molecules make multiple hits with the surfaces. The multiple-hit conditions increase both the probability of a molecule to find
an open adsorption site and the rate with which the substrate surface becomes saturated with the precursor. The former
increases precursor utilization efficiency and the latter makes exposure times shorter as compared to alternative reactor
geometries. The small volume of the flow channel, together with the plug-like flow conditions, also enables very rapid purging
of the reactor. With good chemistry, high enough precursor partial pressures for efficient transportation and high enough
temperature for rapid desorption, 0.1 s pulses can be enough to saturate the surface of a large silicon wafer; the purge may be
completed within 0.1 s, thereby resulting in less than 0.4 s cycle times. With large substrates larger amounts of precursor need to
be transported, but still cycle times below half a second have been reported, e.g., 475 ms for Al2O3 deposition from Al(CH3)3
and O3 onto 300 mm wafers (78). The largest single substrate tool reported is an application-specific system designed for
industrial in-line production of buffer layer coatings on copper-indium-gallium-diselenide thin film solar cells with
1200 mm  1200 mm substrates (7,8).
The disadvantage of the cross-flow reactor is its sensitivity to various kinds of nonidealities in ALD chemistry like precursor self-
decomposition and by-product readsorption (see above), both leading to thickness nonuniformity. These effects are pronounced in
the cross-flow reactors because different parts of the substrates receive different doses of precursors and by-products. Essentially all
nonideal features of ALD chemistry can be described as CVD contributions, and thus it is of no surprise that their harmful effects can
be better tolerated in reactors resembling CVD reactors, i.e., in the perpendicular-flow reactors. The downside of the perpendicular-
flow reactors is slower purging because the showerhead or another kind of injector piece increases the reaction chamber volume to
be purged. The volume to be purged can be minimized by bringing the injector piece closer to the substrate than commonly used in
CVD, though.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
Atomic Layer Deposition 111

4.05.4.1.2 Batch Reactors


While single wafer reactors are preferred in certain applications in semiconductor industry, they have limited productivity due to the
moderate deposition rates of ALD. Therefore multiple parallel single wafer ALD reactors integrated to a single tool as well as semi-
batch reactors (e.g., 4 wafers) have been developed. Also large-batch tools with up to 150 wafers with 200 or 300 mm diameter (9)
or 50 wafers with 300 mm diameter (79) are commercially available, but these particular tools require significantly longer cycle
times. In non-semiconductor applications large-batch reactors are common and they can accommodate, e.g., 36 substrates
measuring 24  50 cm2. So that a double side coating results in 8 m2 of film (7). Substrates can be also packed back-to-back so that
the growth surfaces from the adjacent substrate pairs form a flow channel similar to the single wafer cross-low reactors (Figure 5).
Even larger surface areas in the batch tools are possible. In protective coating applications, like those applied on jewelry, quite
massive batch processing is necessary to make the process cost-effective. Furthermore, there is also a tool that integrates four coating
chambers, each taking in 500 crystalline silicon solar cell wafers (156  156 mm2), with a single precursor delivery system (80).
A throughput of 3000 wafers h1 for 20 nm film is specified for this four chamber tool.

4.05.4.1.3 Reaction Chamber Wall Temperature


ALD reactors must be of the hot wall type to exclude any slow adsorption–desorption processes on the walls of the reaction chamber
and the source lines. This is necessary for rapid change of the gas composition in the reaction chamber as needed for an efficient ALD
process. Often the walls are at the same temperature as the substrates and film grows also on the walls, thereby requiring their
frequent cleaning. As an alternative, warm wall configuration has been suggested so that the walls would be at a temperature that is
below the onset temperature of the film growth but still high enough to ensure rapid desorption. Ideally, in such a reactor there
would be no growth on the walls and accordingly no need of cleaning the walls, but it is probably hard to find proper temperatures
for all processes of an interest.
Many ALD reactors are built so that the reaction chamber and its heating elements are enclosed in a larger vacuum chamber with
cold or warm walls (60). This is convenient especially with large substrates and batches, and adds freedom to the design of the
reaction chamber that does not need to withstand atmospheric pressure.

4.05.4.1.4 Precursor Sources and Valving Systems


An ALD source should supply in a short time, preferably fractions of a second, a large enough dose of a precursor vapor to saturate
all the surfaces in the reaction chamber. Therefore there is a minimum vapor pressure the precursor must have in the source, and
often the compound needs to be heated for reaching that vapor pressure. The actual value of the required vapor pressure depends on
the reactor, including the source itself, and is largely affected by the surface area to be coated. For the alternate supply of the
precursor pulses, the precursor source and the reaction chamber must be separated by a mechanical valve or some other fast valving
system. A rapid change from one precursor to the other requires careful elimination of cold spots, dead spaces, and turbulences in
the source lines and the reaction chamber.
There are numerous source designs in use in ALD that differ from each other in heating capacity, location with respect to the
reaction chamber and the valving mechanism. The main factor affecting the source design is the vapor pressure of the precursor – the
higher the volatility, the simpler the source that suffices. Gases need just a valve for pulsing and some device for controlling the flow
from the cylinder into the reactor. High vapor pressure liquids are placed outside the reaction chamber, and a mechanical valve is
used to pulse the precursor into the reaction chamber. If the precursor needs to be heated to reach the desired vapor pressure, source
lines and valves must also be heated to avoid condensation. One option is to enclose the whole source – valve assembly into
a separate oven.
The simplest way for transporting the high vapor pressure precursor from the source into the reactor is vacuum draw. This occurs
when the pressure of the precursor in the source is higher than the total pressure in the reactor on the other side of the pulsing valve.
Vacuum draw is therefore applicable for all gases and for those liquids that have, at the source temperature, a vapor pressure higher
than the reactor pressure. No carrier gas is used for driving the precursor into the reactor, but further transportation inside the flow-
type reactors usually employs carrier gas. An alternative for the precursor transportation from the source is to use bubblers or other
vessels where an inert carrier gas flows through or over the source compound. This adds complexity but makes the precursor
transportation more efficient compared to the vacuum draw and is preferred especially in large surface area applications where large
precursor doses are needed. Importantly, bubblers and over-flow sources can also be used with precursors whose vapor pressure is
not high enough for the vacuum draw but still high enough so that the source does not need to be heated to high temperatures.
Efficient transfer of the solid to the overflowing transport gas can be ensured by maximizing the contact area using, for example,
a multiple tray assembly through which the transport gas percolates (81). When loaded with 500 g of HfCl4, such a source is
expected to run for an impressive 6 months in deposition of 2 nm gate oxides.
Pulsing of the high vapor pressure sources is relatively simple with fast solenoid or pneumatic valves. Requirements for ALD
valves include short response times below 20 ms, reliable operation for millions to tens of millions of cycles, no particle or other
kind of contamination, and consistent flow performance (82). Pneumatically driven ultrahigh-purity diaphragm valves are the
preferred choice for semiconductor applications and therefore have become the dominant ALD valves, though solenoid valves are
used too. The high operation temperature of the valve becomes important with less volatile precursors. Current valves can be
operated up to 300  C, which thereby sets the upper limit for the mechanically valved sources.
A low vapor pressure precursor can be defined as a compound that cannot be delivered with the mechanically valved sources
because its vapor pressure does not reach a high enough level at temperatures tolerated by the mechanical valves. A good example is

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
112 Atomic Layer Deposition

ZnCl2, a precursor used in the first commercial application of ALD, i.e., thin film electroluminescent displays. ZnCl2 must be heated
around 350  C to reach a sufficient vapor pressure. Even the newest high temperature valves fail to reach this temperature, and
pulsing of this kind of precursor was not possible before the invention of the inert gas valving system (73–75) that constituted
a major breakthrough in ALD technology.
In the inert gas valved low vapor pressure sources the precursors are placed either inside the reactor in a region which is heated to
a temperature giving the desired vapor pressure, typically 0.1–1 Torr, or in a furnace outside the reactor and connected with hot
tubes to the chamber. In either case, the inert gas valving mechanism separates the source from the reaction chamber. The valving
action is realized by directing two inert gas flows into each source tube: one is a transport gas flowing over the source and the other
one a valving gas. The valving gas is fed into the source line at a point between the source and the reaction chamber. When the source
is in an off-state, the transport gas flow is off and the valving gas on. Upon entering the source line the valving gas divides into two
parts: one part purges the reaction chamber and the other part flows toward the source, setting a diffusion barrier that prevents
precursor molecules from entering the reaction chamber. The source is turned to the on-state by switching off the valving gas flow
and turning on the transport gas, thereby breaking the diffusion barrier and letting a pulse of precursor molecules enter the reaction
chamber. While the valves controlling the two gas flows operate at room temperature, the valving action itself takes place at the
reaction chamber temperature. Proper operation of the inert gas valving system relies on careful design, in particular a balance of
conductances around the valving point.
The inert gas valved sources were originally developed to the urgent need of pulsing truly low vapor pressure precursors, but
since that they have been used extensively also with precursors that from the vapor pressure point of view could be supplied from
the mechanically valved sources too. This is because once available, the inert gas valved sources are very convenient to operate at
least in research scale. It has also been found useful to combine the inert gas valving with mechanical valves to back-up the latter for
small leakage (83).
Liquid injection offers an alternative for ALD precursor delivery. Though the technology has been developed for CVD, many
liquid injection delivery systems operate in the pulsed, drop-on-demand mode, and as such meet the ALD requirement of pulsed
delivery of precursors. Both liquid precursors and solutions containing a solid precursor dissolved into an appropriate, preferably
inert solvent can be delivered this way. The liquid is injected into a hot evaporation zone where it is immediately vaporized
completely and transported further to the reaction chamber with an inert gas.
One advantage of the liquid injection delivery is that the precursor experiences elevated temperature only for a very short time of
a few seconds in maximum. This is critical with precursors that do not fully meet the requirement of thermal stability against self-
decomposition and degrade when kept for long times in a conventional heated source. One can also control accurately the volume
of liquid injected in each pulse. Potential disadvantages of the liquid injection delivery systems include reliability issues, like
clogging of the injector, residual particle formation and participation of the solvents, when used, into the growth reactions.
Avoidance of particles on the films is the most critical in semiconductor applications but also elsewhere. Some solid precursors
consist of very fine particles and are therefore highly potential particle sources, though particles may form from liquid residues too.
Effective particle filtering may therefore become necessary. Mechanical, electrostatic, and inertial trap filters have been suggested
(83,84). Mechanical filters, such as sinters and membranes, may suffer from low flow conductances that increase the precursor pulse
rise and decay times and thereby lengthen the ALD cycle time. These problems may be avoided by doing the filtering before the
valving point.
Precursors are usually transported from the different sources to the reaction chamber along separate lines, one for each precursor.
This avoids deposition on the walls of the source lines and the consequent cleaning needs. The closer to the substrate the lines
merge, the less precursor is wasted. It is worth noting, however, that often various inlet effects are observed right after the merging
point of the source lines where the film growth starts. Therefore some dummy area should be left between the merging point and the
substrate. In the cross-flow reactors the inlet effects are often clear, whereas in the perpendicular-flow reactors they may remain
hidden.

4.05.4.2 Plasma-Enhanced ALD Reactors


In principle, a conventional thermal ALD reactor can be converted to a PEALD reactor simply by adding a plasma source keeping the
other things the same. In practice, several issues are calling for attention and causing the need for further modifications. First, plasma
sources need space that must be taken from either inside or outside the reaction chamber. Second, the reactive species generated by
the plasma discharge have limited lifetimes, during which they need to be transported to the substrate surface. Not only ions and
electrons recombine faster than radicals but also the latter recombine rapidly in collisions with each other and other gas phase
species, and especially upon colliding with surfaces. Therefore the plasma source location, operation pressure of the reactor, and
flow path from the plasma source to the substrate are important parameters affecting chemical character and flux of reactive species
arriving on the substrate. For these reasons application of plasma in batch reactors is difficult though this has been studied too (85).
Yet another important issue is whether a film is growing in the plasma discharge region because it can greatly affect the plasma
discharge ignition and stability. In the worst case conductive films can short circuit the electrodes or prevent inductive coupling
through the originally dielectric discharge tube.
Plasma sources have been located either remotely, upstream of the substrates (86), or so that the substrates become immersed in
the plasma (Figure 6). Accordingly, different processes have been distinguished and named (87), the main difference being in the
magnitude of ion flux received by the substrate.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
Atomic Layer Deposition 113

Figure 6 (a) Schematics of a remote plasma ALD reactor with an inductively coupled RF plasma source. Only the nonmetal precursor gases are fed
through the discharge region while metal precursors are introduced directly into the growth chamber. One high vapor pressure source is shown.
The ellipsometer allows real-time measurement of thickness and optical properties of the growing film. (Copyright Heil, S. B. S; Kessels, W. M. M.
Eindhoven University of Technology.) (b) Schematics of a remote plasma ALD reactor with a capacitively coupled RF plasma source. Grid between the
substrate and plasma source prevents ion bombardment and thus only radicals reach the substrate. (Reproduced from Kariniemi, M.; Niinistö, J.; Hatanpää,
T.; Kemell, M.; Sajavaara, T.; Ritala, M.; Leskelä, M. Plasma-Enhanced Atomic Layer Deposition of Silver Thin Films. Chem. Mater. 2011, 23, 2901.).

In the direct plasma ALD the substrate is in intimate contact with plasma. This is usually accomplished by using a capacitively
coupled RF plasma discharge and placing the substrate on a grounded electrode with the opposite surface serving as the powered
electrode. Also a showerhead may serve as the powered electrode. Because only the nonmetal precursor can be activated with the
plasma, this needs to be pulsed. The intense ion bombardment experienced by the substrate from the intimate plasma may be
beneficial or detrimental as it supplies energy to many surface processes. At the same time a high flux of radicals is ensured in this
configuration. Limitations include film growth in the plasma discharge region and the space taken by the electrodes.
In both radical-enhanced ALD (REALD) and remote plasma ALD the plasma source is placed within a distance of the substrate
(Figure 6), and the distinction between the two should be made based on the species reaching the substrate. In radical-enhanced
ALD only radicals but no ions and electrons reach the substrate. The intermediate case where the plasma is remote but still close
enough so that some charged species survive to reach the substrate is called remote plasma ALD. For example, in a configuration
where an inductively coupled H2–N2 plasma source was as far as 30 cm from the substrate, an ion flux of about 2  1014 cm2 s1
was still estimated to arrive on the substrate when the reactor was operated at 10 mTorr pressure (88). Close to the substrate an
electron temperature of 3 eV was measured, indicating that an active plasma extended to the downstream region above the
substrate. The remote location of the plasma allows more space for its integration, and when properly protected by either purge gas
flows or gate valves, film growth in the plasma region can be completely eliminated for reliable and consistent operation. The
plasma can be either pulsed or continuously on so that the gas fed into the plasma is cycled between argon and a mixture of argon
and the reactant. Commonly inductively coupled RF discharges have been used as truly remote sources, whereas a capacitively
coupled RF source can be brought closer but still in a remote position by separating the discharge from the substrate by a grounded
metal grid (Figure 6). Microwave discharges offer a good alternative but require more space and are in this respect similar to the
inductively coupled RF discharges.
Because of the radical recombination in gas phase collisions, it was originally thought that plasma ALD reactors should be
operated at lower pressures than commonly used in thermal ALD reactors. Radical recombination upon surface collisions was in
turn thought to limit the conformality of plasma-enhanced ALD. It has been demonstrated, however, that remote plasma ALD
oxide processes run at around 5 mbar can produce conformal films in trenches with aspect ratios as high as 60:1 (89). These
successful results were interpreted to indicate that at higher pressures the increased loss of radicals in gas phase collisions is
compensated by their higher absolute density in the plasma. Also, in the reactor used in that study, the plasma discharge is only
a few centimeters from the substrate, behind a metal grid. As a consequence, a high flux of radicals can reach the substrate despite
the high operation pressure. Inside the small trenches the radical diffusion is molecular and thereby pressure independent.
Surface collisions dominate the recombination losses and make these highly dependent on chemical composition of both the
radicals themselves as well as the surface (90). Hydrogen atoms recombine on the surfaces more efficiently than oxygen atoms
and, accordingly, conformality of PEALD Ag films deposited with hydrogen plasma was poorer compared to the PEALD oxides
deposited with oxygen plasma (89).
As a final remark on plasma-enhanced ALD reactors, it is noted that in most cases the reactant gases (H2, N2, O2) are reactive
toward the metal precursor only when activated with plasma. Therefore, after switching off the plasma, these gases do not need to be
purged out of the reactor before the metal precursor pulse, hence allowing one to skip the purge step after the plasma pulse, thereby
shortening the cycle time. If so desired, the reactant gas flows can be kept constantly on also.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
114 Atomic Layer Deposition

4.05.4.3 Spatial ALD Reactors


In spatial ALD reactors the ALD cycle is realized by moving the substrate alternately in two or more continuous precursor flows
(Figure 7). The precursors and the ALD half-reactions are thus divided spatially rather than temporarily as is done in the
conventional ALD reactors. Inert gas flows and exhaust connections between the precursor flows prevent the precursors from mixing
and purge the substrates. Spatial ALD reactors with various designs have been developed as reviewed comprehensively in Ref. (91).
Here only a concise overview of the various reactor types is made.
Spatial ALD was in fact used already in the very first experiments on the ALD growth of ZnS. The elemental precursors were
evaporated from vertical ovens enclosed in a high vacuum chamber (Figure 8). The openings of these ovens were covered with
a rotating plate to which the substrates were fixed and became thus exposed alternately to Zn vapor, vacuum, Sx vapor and vacuum
again. In this reactor no purge or shield gas was used, but in their following patent Suntola et al. also described a spatial ALD reactor
with shield gases separating the precursors and reaction zones (Figure 8) (92). In the late 80s and early 90s Bedair and co-workers
studied extensively ALE of GaAs and other III–V semiconductors using a reactor where the substrates are exposed with the aid of
a rotating susceptor alternately to organometallic precursor and nonmetal hydride flows that are kept separated with a H2 purge gas
directed with a properly shaped wedge piece (Figure 9) (93). Cycle times as short as 0.5 s were reached with this tool.
The renewed interest toward spatial ALD reactors arises from the limited throughput of ALD. The main drivers have been on one
hand solar cells and on the other hand flexible electronics and other applications like packaging requiring coating of web shaped
substrates in a roll-to-roll manner. Many of the recently reported spatial ALD reactors can be viewed as modifications of the idea of
Suntola et al. with shield gases (Figure 8) (92). The precursor and shield gas zones are most often organized in a linear array under
which the substrates are moved (Figure 7(a)), but they can also placed around a drum directing the flexible web substrate
(Figure 10). For depositing a thicker film, two options exist: the substrate is moved back and forth under a limited number of
precursor zones, or the substrate makes a single pass along a long row of reaction zones. In the former case, the number of back and
forth passages determines the ALD cycle number and film thickness, while in the latter case these are determined by the number of

Figure 7 Schematics of two spatial ALD reactors. In (a) the substrate is moved under an injector with separate gas inlets of precursors A and B,
separated by inert gas inlets and exhaust connections. In (b) the flexible substrate is woven in a serpentine configuration back and forth between
two precursor zones separated by an inert gas zone. Note that without plasma activation O2 is inert toward TiCl4. Reproduced from Poodt, Paul;
Cameron, David C; Dickey, Eric; George, Steven M.; Kuznetsov, Vladimir; et al. Spatial Atomic Layer Deposition: A Route towards Further Industrialization
of Atomic Layer Deposition. J. Vac. Sci. Technol. A 2012, 30, 010802, http://dx.doi.org/10.1116/1.3670745. Copyright JVST.

Figure 8 (a) A high vacuum spatial ALD reactor used by Suntola et al. in their first ALD experiments. Left side shows a cross-section of the vacuum
chamber and right side a transverse section along line II – II on the left side image, in the direction of the arrows. Items 13a and 13b are heated
vapor sources for elemental zinc and sulfur, over which the substrates 14 are alternately rotated with the aid of disc 12. (Reprinted from Suntola, T.;
Antson, J. US Patent 4,508,430.) (b) A flow-type spatial ALD reactor design presented in 1983. Top image shows a cross-section and bottom
image vertical section along line XIV – XIV. The substrate 110 is reciprocally mover a fixed array of openings of sources 75, inert gas 73 and exhausts 74.
(Reprinted from Suntola, T.; et al. US Patent 4,389,973.).

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
Atomic Layer Deposition 115

Figure 9 Schematics of a rotating susceptor ALD reactor. The susceptor consists of fixed and rotating parts. The precursor streams are directed
into windows in the fixed part below which the substrate rotates and cuts through the streams. A wedge and a large H2 flow from the center tube prevent
mixing of the two precursors. Reprinted from Tischler, M. A.; Bedair, S. M. Self-Limiting Mechanism in the Atomic Layer Epitaxy of GaAs. Appl.
Phys. Lett. 1681(1988), 48, 1681.

Figure 10 Schematics of a double-sided gas bearing spatial ALD concept. A gas bearing above and below the substrate, forming a narrow slit, directs
the substrate to float virtually frictionless through the reactor with a linear arrow of alternate precursor zones. Alternatively, the substrate can also
be moved back and forth in a reciprocating manner under a spatial ALD injector with a limited number of precursor zones. Reproduced from Poodt,
Paul; Cameron, David C; Dickey, Eric; George, Steven M.; Kuznetsov, Vladimir; et al. Spatial Atomic Layer Deposition: A Route towards Further
Industrialization of Atomic Layer Deposition. J. Vac. Sci. Technol. A 2012, 30, 010802, http://dx.doi.org/10.1116/1.3670745. Copyright JVST.

the precursor zones in the array. Both options are already in use in the first industrial spatial ALD reactors aimed for deposition of
passivation layers for silicon solar cells. A throughput of 3600 wafers h1 is achievable with the single pass tool, and a tool length of
10 m is needed for deposition of 10 nm Al2O3 (91). With the back-and-forth movement and a single TMA zone, a throughput
of 100 wafers h1 is obtained for 10 nm Al2O3, and scale-up is envisioned by multiplying the precursor zones and further on by
arranging 10–15 modules in parallel (91). A critical parameter in these designs is the gap between the precursor injector and the
substrate. A close proximity (<100 mm) of the two ensures not only efficient separation of the precursors but the gas shields can also
act as gas bearings, maintaining the substrate in close proximity to the injector without the need for extremely high tolerance
mechanical fixtures. When another gas bearing with inert gases only is added on the backside of the substrate, the substrates can be
levitated and moved frictionless using gas flows only (Figure 10). In the roll-to-roll coater in Figure 11 a drum directs the web close
to the curved injector head, which is in a rocking motion of about 1 Hz along the lower half of the drum. The web width can be
500 mm and speed up to 2 m min1 at 25 nm film thickness, giving a throughput of >200 000 m2 per year.
The reaction zones can also be incorporated into a round reactor head under which the substrate is rotated (Figure 12). An Al2O3
growth rate of 1.2 nm s1 has been achieved with this tool (94). However, because of the rotational symmetry, the central part of the
rotating susceptor cannot be coated and therefore this tool perhaps serves mostly as a research tool for the above mentioned linear
array tools.
Quite a different approach to spatial ALD is shown in Figure 7(b) where the web shaped substrate is woven in a serpentine
configuration back and forth between two precursor zones separated by an inert gas zone. The nonmetal precursor may be activated
either thermally or plasma (91).
Recent efforts and progress in spatial ALD reactors have been impressive, but many challenges remain. In particular, all the
processes run so far with spatial ALD reactors (Al2O3, ZnO, TiO2) have used high vapor pressure precursors. Getting high enough

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
116 Atomic Layer Deposition

Figure 11 Roll-to-roll ALD reactor. Left: An overview showing the feeding and collecting rolls on top and the web directing drum and the curved
coating head at the bottom part of the chamber. Right: A closeup of the drum – web – coating head assembly. The large picture shows only the
precursor feed slots while the magnification shows also the nitrogen feed and exhaust slots between the precursor slots. Copyright Beneq.

Figure 12 Schematics of a rotating spatial ALD reactor. (a) Bottom side of the reactor head under which the substrate is rotated as shown in (b).
Reproduced from Poodt, Paul; Cameron, David C; Dickey, Eric; George, Steven M.; Kuznetsov, Vladimir; et al. Spatial Atomic Layer Deposition:
A Route towards Further Industrialization of Atomic Layer Deposition. J. Vac. Sci. Technol. A 2012, 30, 010802, http://dx.doi.org/10.1116/1.3670745.
Copyright JVST.

fluxes from lower vapor pressure precursors will be a critical issue for expanding the spatial ALD materials selection toward that
covered by conventional ALD reactors. In addition, coating of more irregularly shaped substrates with spatial ALD reactors will form
another challenge. With the highest aspect ratio structures the speed benefits of these tools probably cannot be enjoyed because
cycle times become dominated by diffusion of the precursors into the trenches and holes of the substrate.

4.05.4.4 Other Reactor Configurations


Several special reactor designs have been developed for substrates that have demanding high-aspect-ratio pores or are in a powder
form. For example, a flow-through reactor was constructed for coating such substrates that have holes running through from one
face to the other (95). In this reactor configuration all the precursor molecules are forced to flow through the substrate, which both
makes the process faster and improves the precursor utilization as compared with the other reactors where the precursor trans-
portation into the pores occurs only by diffusion of some molecules from the main stream flowing along the substrate surface.
Another reactor type for coating very high-aspect-ratio structures, applicable to close-ended pores as well, uses a static vacuum
that is created by isolating the chamber with valves from the sources and the vacuum pump. This allows running the ALD process in
a fill-in – hold – pump-down mode where the hold period can be set long enough for the precursors to reach and saturate all the
surface sites also at the bottom of the deepest pores. Thanks to the static vacuum, no precursor is wasted into the exhaust while
waiting for the saturation to be completed. Due to the long exposure times, batch processing is preferred for productivity reasons.
Also this reactor type was suggested already in the 1970s (92) but is only now making it to the wider use.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
Atomic Layer Deposition 117

Powders represent an extreme challenge for ALD both in terms of surface area to be coated and conformality already when
processed in amounts like 1 cm3. The first studies on ALD on powders dealt with silica and alumina catalyst supports that consist of
porous powders with specific surface areas of several hundreds of m2 g1 (96,97). However, as the aim of these studies was in
modifying the surface composition, only a few ALD cycles needed to be applied and the requirements to the reactor were not as
severe as in complete coating of powders. The powder was placed into a container with a porous bottom plate, and the gases were
flown through the powder bed without any stirring. Saturation of all the surfaces required exposure times up to hours even with
small amounts of powder. By contrast, coating of nonporous powders with continuous films sets more challenges to the reactors
even if the surface area is often substantially smaller than with the porous powders. There is an obvious risk of binding the particles
together with the coating if the process is done without movement of the powder bed. In one reactor, the reactor vessel is constantly
shaken by a mechanical vibration (98), while another design uses a rotary porous tube as a holder for the powder particles that are
agitated by balancing gravity and centrifugal forces (<1G) (99). Fluidized bed is a common approach for powder processing in
general but does not allow the use of static exposures, which might be beneficial especially when combining high surface areas and
moderately reactive precursors. The high surface areas of powders consume lots of precursors and thereby emphasize the impor-
tance of the precursor utilization efficiency.

4.05.5 ALD Applications

Application areas where ALD is considered and studied have increased in number rapidly during the past years along with the rapid
growth of ALD research in general. Yet the commercial applications of ALD, reported at least, are still quite limited. This is largely
due to the fact that ALD is a relatively slow method and as such often also more expensive than the alternative methods. Conse-
quently, ALD appears to become adopted into commercial use only when the other methods fail. This will be well exemplified by
the existing and published commercial applications to be discussed below.
This section begins with a brief survey of applications where ALD is already in production and is followed by an overview of areas
where ALD is under active research, with a possibility to see production use in the near future.

4.05.5.1 Industrial Applications


Thin film electroluminescent displays (TFEL) (Figure 13) served as the original motivation for developing the ALD technology,
including both chemistry and reactors (100–104). In the mid-1970s the concept of the TFEL display was known, but the existing

Figure 13 Schematics and photos of TFEL displays. The luminescent ZnS:Mn (about 1 mm in thickness), insulating Al2O3 or AlxTiyO (about 200 nm
each) as well as protective and passivating Al2O3 layers are produced by ALD. In the transparent display (lower photo) the metal electrode is replaced
with a transparent electrode and the black background is left out. TFEL display is operated by applying about 200 V ac voltage to the electrodes
crossing a chosen pixel. The high ac field forces electrons to move back-and-forth across the ZnS:Mn luminescent layer. During their travel the electrons
gain high energy from the electric field and impact excite the manganese ions. De-excitation results in light emission. Copyright Planar Systems Inc.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
118 Atomic Layer Deposition

thin film deposition methods did not allow their production in a reliable and cost-effective manner. This was largely due to the high
electric field (1–2 MV cm1) to which the dielectric-luminescent-dielectric three-layer structure is exposed in operation of the
display. The high electric field makes the large area device sensitive to breakdown, causing defects like pinholes. ALD turned out to
enable high yield in TFEL display manufacturing and has been in commercial use since the early 1980s. The thin film materials
produced by ALD for the TFEL display are ZnS:Mn as the luminescent layer, Al2O3 or AlxTiyO as the insulators, and Al2O3 as the
passivation and protective layers. It should be noted that the ALD grown layers for this application are rather thick, at least 200 nm,
the luminescent layer even exceeding 1 mm. A variety of other ALD materials and processes were also developed and explored over
the years in TFEL display research; this has laid ground for bringing ALD into new application areas.

4.05.5.1.1 Magnetic Heads


The second commercial application of ALD appeared around the turn of the millennium in thin film magnetic heads that are used to
read and write data into hard disks. Because of the aggressive downscaling of the magnetic heads, the so far used sputtering method
was rapidly approaching its capability limit in depositing thin insulating gap layers on nonplanar surfaces. This created an urgent
need for a low temperature deposition process of conformal insulator with good dielectric strength. ALD technology could luckily
reply to this request by the already existing nearly ideal Al(CH3)3–H2O process for Al2O3 (105) and became rapidly adopted into
production (106,107). It could even be stated that ALD saved the magnetic head industry (107).

4.05.5.1.2 Microelectronics
Microelectronics has been the major driving force for the ALD technology for the past 15 years. This development started in the late
1990s when it became obvious that the continuation of Moore’s law would critically depend on an introduction of new materials
and their deposition methods to the integrated circuit technology. Key requirements for the deposition methods are atomic level
accuracy in thickness control, uniformity over the increasing wafer sizes and conformality over the increasingly complex device
structures, all factors favorable for ALD. At the same time, the layer thicknesses have been shrinking to the nanometer level, thereby
decreasing though not completely eliminating the greatest drawback of ALD, i.e., slowness. High-k dielectric materials as both
alternative gate oxides in metal-oxide-semiconductor field effect transistors (MOSFETs) and capacitor dielectrics in dynamic
random access memories (DRAMs) have been in the central role in microelectronics applications of ALD. DRAMs require
continuously more complex 3D capacitor structures to ensure the required storage cell capacitance despite the ever decreasing
memory cell areas (Figure 14). Currently the dielectric material is a trilayered ZrO2–Al2O3–ZrO2 nanolaminate structure
(Figure 14) but intense research is focused on other higher-k value materials like SrTiO3 and doped TiO2 (108). Besides the
capacitor dielectric, ALD may be used for the electrode deposition. TiN is the currently used electrode material, but in the future for
leakage reasons it may need to be replaced with the higher work function ruthenium.
Intel was the first to report ALD in production of microprocessors when they made the historic change of materials into the
high-k dielectric – metal gate electrode stacks in MOSFETs (109). The gate dielectric was reported to be hafnium oxide based
material grown by ALD. The traditional MOSFETs were planar devices but the replacement gate approach and more complex
transistor structures like FinFETs (Figure 15) exploited already by Intel have also made MOSFET processing a 3D task. In addition to
the gate dielectric, the gate electrode is an obvious application to ALD and extensive research is ongoing.
Third semiconductor application area where ALD has been extensively studied is interconnects. Apparently the first real
application was tungsten seed layers for tungsten CVD contact plug fill for which several companies announced production worthy
solutions already in the early 2000s (110). These processes are based on a reduction of WF6 with either B2H6 or silanes and have
exceptionally high growth rates. For copper interconnects ALD has been explored for years but its implementation has been delayed

Figure 14 (a) Schematics and evolution of the stack DRAM memories (Copyright Wiley-VCH). (b) ZrO2/Al2O3/ZrO2 (ZAZ) dielectric film in a stacked
capacitor. Kim, S. K.; et al. Adv. Mater. 2008, 20, 1429. Copyright IEEE.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
Atomic Layer Deposition 119

Figure 15 Cross-section of Intel’s FinFET structure. Copyright Chipworks.

because innovations in the traditionally used PVD technologies have extended their use from device generation to another. ALD
metal nitrides have been explored as diffusion barriers and ALD copper as a seed layer for copper electroplating. Unfortunately
copper appears to be a challenging material to find good ALD chemistry. Noble metals like ruthenium have been evaluated as
potential combined barrier-seed layers. There are also many other application areas in microelectronics for which ALD is explored
and maybe already used. These include high-aspect-ratio RF and decoupling capacitors and nonvolatile memories such as FeRAM,
flash and phase change memories.
A different but increasingly important application for ALD in interconnect technology has emerged from the self-aligned double
patterning technology that is an efficient way for preparing repetitive narrow line width patterns (111). In this application high
quality, conformal films need to be deposited on patterned photoresists at low temperatures as required by the photoresist
materials.

4.05.5.1.3 Protective Coatings


A quite surprising commercial application of ALD is in the field of jewelry (112). ALD Al2O3 proved effective in preventing silver
tarnishing at thicknesses as low as about 10 nm. This low thickness is important because it leaves the visual appearance of the silver
objects essentially unchanged. Robust process, inexpensive precursors, and batch processing with 2000 valuable pieces ensure the
cost effectiveness of the process. Obviously the effective sealing by conformal ALD coatings could be used in providing chemical
protection for also many other objects. Promising results have been obtained in preventing corrosion of both stainless steel and low
alloy steel, for example using ALD films alone or combined with PVD coatings (113,114).

4.05.5.2 Other Applications


Besides the reported commercial applications, ALD has been studied in a number of other areas. In the following some potential
and emerging application areas are briefly described.

4.05.5.2.1 Energy Technologies


Photovoltaics (PVs) are in a key role in solving the future energy needs. For ALD there is certainly room for further development
of nanostructured solar cells. During the past 20 years ALD has been studied for various applications, e.g., ALD Al2O3 as
a passivation layer in crystalline Si or encapsulation layer in CIGS (115). For dye-sensitized solar cells various ALD grown oxide
layers have been intensively studied especially for barrier and blocking layers. For industrial breakthrough of ALD in solar cells,
the key issue is how to adapt the most potential applications, passivation layer in c-Si solar cells and buffer layer in CIGS, in a cost
effective manner for high volume manufacturing. Here the development of spatial and roll-to-roll ALD reactors is of utmost
importance.
Other emerging energy technologies for ALD are solid oxide fuel cells (SOFCs) and lithium-ion batteries (LIBs). For SOFCs,
electrolyte fabrication by ALD has especially been studied; for a more comprehensive review, see Ref. (116). For lithium-ion
batteries, a fully ALD manufactured LIB (anode, electrolyte, cathode) is under research. Another approach is to enhance the
properties of conventional LIB electrodes by coating them with ultrathin Al2O3 films by ALD. A more detailed description of the use
of ALD in LIBs can be found in Ref. (117).

4.05.5.2.2 Optical Applications


In optics ALD has the potential for a wide range of applications, but as in the case of PVs, the cost effectiveness has limited high
volume manufacturing. However, in complex 3D components ALD has clear advantages and opportunities for industrial
production. Film thickness control requirements in certain areas of optics are extremely strict and in addition, the conformality
capability clearly favors ALD. Multilayer interference coatings have been made with ALD for wavelength ranges from IR to

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
120 Atomic Layer Deposition

visible and soft and hard x-rays (30,118–120). In 3D structured optical components the development is still ongoing and ALD
has been explored in preparation of novel optical devices from, for example, nanogratings (121) and porous silicon (122).
Inverse opal (123–125) photonic crystals, grid filters (126), erbium-doped waveguides (127), and ultrahigh resolution Fresnel
zone plates for x-ray microscopy (128) are further examples of the exploitation of the conformality of ALD in complex optical
components.

4.05.5.2.3 Coatings on Powders and Polymers


Besides surface modification of porous powders, ALD has also been used in encapsulating compact powders with continuous films
(98,99,129). If the ongoing work on upscaling the coating process to large batches turns out to be successful and economically
feasible, potential application areas are numerous.
ALD films, Al2O3 in particular, have been deposited also on polymers at temperatures below 100  C (130). With plasma-
enhanced ALD Al2O3 has been deposited even at room temperature (131). One of the main motivations for the studies on low
temperature deposition on polymers has been the barrier coatings for organic light emitting diodes. Al2O3 films seem to degrade
over time with exposure to water, however (132). Combination of Al2O3 with SiO2 into multilayer structures improves the stability
and results in a lower water vapor transmission rate than either of the multilayer constituents alone (132).

4.05.5.2.4 Photocatalysts
ALD has been shown to produce photocatalytically active TiO2 films (133). Titanium dioxide is the most widely used pho-
tocatalyst in air and water purification as well as in self-cleaning and sterilizing coatings. Lots of methods exist for the prep-
aration of TiO2 photocatalysts, making it difficult for ALD to compete. However, due to the excellent conformality and
possibility to vary the composition at the atomic layer level ALD may find use in preparation of tailored 3D photocatalyst
structures (134).

4.05.5.2.5 Micro-electro-mechanical Systems


Micro-electro-mechanical systems (MEMS) contain nearly always 3D structures whose conformal coating is an obvious application
area for ALD. On the other hand, ALD is a most attractive technique when nanometer scale coatings are desired, and thus ALD in
MEMS technology is suited for modification of structural layers. Publications on ALD in MEMS applications have so far remained
limited (135). Nevertheless, for example wear resistant (136), lubricating (137), anti-stiction (138), and charge dissipating (139)
coatings have been deposited by ALD on MEMS components.

4.05.5.2.6 Nanotechnology
Fabrication of complex nanostructures via templates and modification of nanostructure surfaces are emerging application areas
for ALD. Potential applications in the field of nanotechnology are numerous. However, as general in the field of nanotech-
nology, commercial applications often take a considerable amount of time. The research efforts have expanded rapidly due to
the fact that ALD by its characteristic features, like perfect conformality and accurate thickness control, is an ideal tool for
nanotechnology. A long list of nanofibers and nanotubes have been coated by ALD (140), like carbon nanotubes (141,142),
semiconductor nanowires (143–145), self-assembled polymer fibers (146), cellulose fibers (147), metal wires made by tem-
plating with porous membranes (134), and electrospun ceramic and polymer nanowires (148,149). Also many nanoporous
membranes have been coated by ALD, like anodic alumina (134,150), g-Al2O3 (151) and track-etched polycarbonate (152).
Other nanostructured objects coated with ALD include porous silicon (153,154), porous anodic alumina films (155,156),
aerogels (157), and synthetic opal (123–125). Application of ALD to biomaterials has been of interest too: viruses (158),
proteins, natural fibers like DNA and spider silk and patterned biomaterials like nanostructured butterfly wings (159). If the
substrate is removed after the coating, a hollow replica directly following the original structure is formed from the ALD grown
film. Such a templating process has been used to prepare nanotubes with electrospun nanofibers (148), anodic alumina
(155,156), and cellulose fibers (147). Several reviews (140,160–162) have been published for a more comprehensive overview
of using ALD in nanotechnology.

References

1. Ritala, M.; Niinistö, J. ECS Trans. 2009, 25 (8), 641.


2. Ritala, M.; Leskelä, M. In Handbook of Thin Film Materials, Nalwa, H. S., Ed.; Academic Press: New York, 2002; Vol. 1, pp 103–159.
3. Ritala, M.; Niinistö, J. In Chemical Vapour Deposition: Precursors, Processes and Applications; Jones, A. C., Hitchman, M. L., Eds.; Royal Society of Chemistry: Cambridge,
2008; pp 158–206.
4. Puurunen, R. L. J. Appl. Phys. 2005, 97, 121301.
5. Miikkulainen, V.; Ritala, M.; Leskelä, M.; Puurunen, R. L. J. Appl. Phys. 2013, 113, 021301.
6. George, S. M. Chem. Rev. 2010, 110, 111.
7. Maula, J.; Alasaarela, T.; Sneck, S. Vacuum Technol. Coat. October 2009, 54.
8. Putkonen, M. ECS Trans. 2009, 25 (4), 143.
9. Granneman, E.; Fischer, P.; Pierreux, D.; Terhorst, H.; Zagwijn, P. Surf. Coat. Technol. 2007, 201, 8899.
10. Profijt, H. B.; Potts, S. E.; van de Sanden, M. C. M.; Kessels, W. W. M. J. Vac. Sci. Technol. A 2011, 29, 050801.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
Atomic Layer Deposition 121

11. Copel, M.; Gibelyuk, M.; Gusev, E. Appl. Phys. Lett. 2000, 76, 436.
12. Elers, K.-E.; Blomberg, T.; Peussa, M.; Aitchison, B.; Haukka, S.; Marcus, S. Chem. Vap. Deposition 2006, 12, 13.
13. Niinistö, J.; Kukli, K.; Kariniemi, M.; Ritala, M.; Leskelä, M.; Blasco, N.; Pinchart, A.; Lachaud, C.; Laaroussi, N.; Wang, Z.; Dussarrat, C. J. Mater. Chem. 2008, 18, 5243.
14. Blanquart, T.; Niinistö, J.; Longo, V.; Pallem, V. R.; Dussarrat, C.; Ritala, M.; Leskelä, M. Chem. Mater. 2012, 24, 3420.
15. Suntola, T. Mater. Sci. Rep. 1989, 4, 261.
16. Yao, T.; Takeda, T. Appl. Phys. Lett. 1986, 48, 160.
17. Suntola, T. Appl. Surf. Sci. 1996, 100/101, 391.
18. Lahtinen, J. A.; Lu, A.; Tuomi, T.; Tammenmaa, M. J. Appl. Phys. 1986, 58, 1851.
19. Goodman, C. H. L.; Pessa, M. J. Appl. Phys. 1986, 60, R65.
20. Asikainen, T.; Ritala, M.; Leskelä, M. Appl. Surf. Sci. 1994, 82/83, 122.
21. Pore, V.; Hatanpää, T.; Ritala, M.; Leskelä, M. J. Am. Chem. Soc. 2009, 131, 3478.
22. Sarnet, T.; Pore, V.; Hatanpää, T.; Ritala, M.; Leskelä, M.; Schrott, A.; Zhu, Y.; Raoux, S. J. Electrochem. Soc. 2011, 158, D694.
23. Ritala, M.; Leskelä, M.; Rauhala, E.; Haussalo, P. J. Electrochem. Soc. 1995, 142, 2731.
24. Alen, P.; Ritala, M.; Arstila, K.; Keinonen, J.; Leskelä, M. J. Electrochem. Soc. 2005, 152, G361.
25. (a) Juppo, M. Ph.D. Thesis, University of Helsinki, 2001.
(b) Alen, P. Ph.D. Thesis, University of Helsinki, 2005.
26. Alen, P.; Juppo, M.; Ritala, M.; Sajavaara, T.; Keinonen, J.; Leskelä, M. J. Electrochem. Soc. 2001, 148, G566.
27. Ritala, M.; Leskelä, M.; Nykänen, E.; Soininen, P.; Niinistö, L. Thin Solid Films 1993, 225, 288.
28. (a) Schuisky, M. Ph.D. Thesis, University of Uppsala, 2000.
(b) Sundqvist, J. Ph.D. Thesis, University of Uppsala, 2003.
29. Klaus, J. W.; Ferro, S. J.; George, S. M. Thin Solid Films 2000, 360, 145.
30. Fabreguette, F. H.; Wind, R. A.; George, S. M. Appl. Phys. Lett. 2006, 88, 013116.
31. Hill, C. W.; Derderian, G. J.; Sandhu, G. J. Electrochem. Soc. 2005, 152, G386.
32. Pilvi, T. Ph.D. Thesis, University of Helsinki, 2008.
33. Leskelä, M.; Mölsä, H.; Niinistö, L. Supercond. Sci. Technol. 1993, 6, 627.
34. Lee, S. W.; Kwon, O. S.; Han, J. H.; Hwang, C. S. Appl. Phys. Lett. 2008, 92, 222903.
35. Kosola, A.; Putkonen, M.; Johansson, L.-S.; Niinistö, L. Appl. Surf. Sci. 2003, 211, 102.
36. Tiitta, M.; Niinistö, L. Chem. Vap. Deposition 1997, 3, 167.
37. Aaltonen, T.; Ritala, M.; Arstila, K.; Keinonen, J.; Leskelä, M. Chem. Vap. Deposition 2004, 10, 215.
38. Aaltonen, T.; Rahtu, A.; Ritala, M.; Leskelä, M. Electrochem. Solid State Lett. 2003, 6, C130.
39. Hämäläinen, J.; Kemell, M.; Munnik, F.; Kreissig, U.; Ritala, M.; Leskelä, M. Chem. Mater. 2008, 20, 2903.
40. Jones, A. C.; Aspinall, H. C.; Chalker, P. R.; Potter, R. J.; Kukli, K.; Rahtu, A.; Ritala, M.; Leskelä, M. Mater. Sci. Eng. B 2005, 118, 97.
41. Jones, A. C.; Aspinall, H. C.; Chalker, P. R.; Potter, R. J.; Kukli, K.; Rahtu, A.; Ritala, M.; Leskelä, M. J. Mater. Chem. 2004, 14, 3101.
42. Yang, T. S.; Cho, W. T.; Kim, M.; An, K. S.; Chung, T. M.; Kim, C. G.; Kim, Y. J. Vac. Sci. Technol. A 2005, 23, 1238.
43. Vehkamäki, M.; Ritala, M.; Leskelä, M.; Jones, A. C.; Davies, H. O.; Sajavaara, T.; Rauhala, E. J. Electrochem. Soc. 2004, 151, F69.
44. Kukli, K.; Ritala, M.; Sajavaara, T.; Keinonen, J.; Leskelä, M. Chem. Vap. Deposition 2002, 8, 199.
45. Hausmann, D. M.; Kim, E.; Becker, J.; Gordon, R. G. Chem. Mater. 2002, 14, 4350.
46. Kim, S. K.; Hwang, C. S. Electrochem. Solid State Lett. 2008, 11, G9.
47. Niinistö, J.; Mäntymäki, M.; Kukli, K.; Costelle, L.; Puukilainen, E.; Ritala, M.; Leskelä, M. J. Cryst. Growth 2010, 312, 245.
48. Niinistö, J.; Kukli, K.; Heikkilä, M. J.; Ritala, M.; Leskelä, M. Adv. Eng. Mater. 2009, 11, 222.
49. van der Straten, O.; Zhu, Y.; Dunn, K.; Eisenbraun, E. T.; Kaloyeros, A. E. J. Mater. Res. 2004, 19, 447.
50. Maeng, W. J.; Park, S.-J.; Kim, H. J. Vac. Sci. Technol. B 2006, 24, 2276.
51. Vehkamäki, M.; Hatanpää, T.; Ritala, M.; Leskelä, M. J. Mater. Chem. 2004, 14, 3191.
52. Hämäläinen, J.; Munnik, F.; Hatanpää, T.; Holopainen, J.; Ritala, M.; Leskelä, M. J. Vac. Sci. Technol. A 2012, 30, 01A106.
53. Lim, B. S.; Rahtu, A.; Gordon, R. G. Inorg. Chem. 2003, 42, 7951.
54. De Rouffignac, P.; Park, J. S.; Gordon, R. G. Chem. Mater. 2005, 17, 4808.
55. Lim, B. S.; Rahtu, A.; Gordon, R. G. Nature Mater. 2003, 2, 749.
56. Wang, H. T.; Gordon, R. G.; Alvis, R.; Ulfig, R. M. Chem. Vap. Deposition 2009, 15, 312.
57. Whitehorne, T. J. J.; Coyle, J. P.; Mahmood, A.; Monillas, W. H.; Yap, G. P. A.; Barry, S. T. Eur. J. Inorg. Chem. 2011, 21, 3240.
58. Higashi, G. S.; Flemming, C. G. Appl. Phys. Lett. 1989, 55, 1963.
59. Tischler, M. A.; Bedair, S. M. In Atomic Layer Epitaxy; Suntola, T., Simpson, M., Eds.; Blackie: Glasgow, 1990; p 110.
60. Skarp, J. I.; Soininen, P. J.; Soininen, P. T. Appl. Surf. Sci. 1997, 112, 251.
61. Hunter, A.; Kitai, A. H. J. Cryst. Growth 1988, 91, 111.
62. Stuyven, G.; DE Visschere, P.; Hikavyy, A.; Neyts, K. J. Cryst. Growth 2002, 234, 690.
63. Vehkamäki, M.; Hatanpää, T.; Hänninen, T.; Ritala, M.; Leskelä, M. Electrochem. Solid State Lett. 1999, 2, 504.
64. Leskelä, M.; Kukli, K.; Ritala, M. J. Alloy. Compd. 2006, 418, 27.
65. Niinistö, J.; Putkonen, M.; Niinistö, L.; Kukli, K.; Ritala, M.; Leskelä, M. J. Appl. Phys. 2004, 95, 84.
66. Niinistö, J.; Putkonen, M.; Niinistö, L.; Arstila, K.; Sajavaara, T.; Lu, J.; Kukli, K.; Ritala, M.; Leskelä, M. J. Electrochem. Soc. 2006, 153, F39.
67. Aaltonen, T.; Alen, P.; Ritala, M.; Leskelä, M. Chem. Vap. Deposition 2003, 9, 45.
68. Kwon, O.-K.; Kim, J.-H.; Park, H.-S.; Kang, S.-W. J. Electrochem. Soc. 2004, 151, G109.
69. Aaltonen, T.; Ritala, M.; Sajavaara, T.; Keinonen, J.; Leskelä, M. Chem. Mater. 2003, 15, 1924.
70. Kukli, K.; Aarik, J.; Aidla, A.; Jogi, I.; Arroval, T.; Lu, J.; Laitinen, M.; Sajavaara, T.; Kiisler, A.-A.; Ritala, M.; Leskelä, M.; Peck, J.; Natwora, J.; Geary, J.; Spohn, R.;
Meiere, S.; Thompson, D. M. Thin Solid Films 2012, 520, 2756.
71. Pore, V.; Knapas, K.; Hatanpää, T.; Sarnet, T.; Kemell, M.; Ritala, M.; Leskelä, M.; Mizohata, K. Chem. Mater. 2011, 23, 247.
72. Knapas, K.; Hatanpää, T.; Ritala, M.; Leskelä, M. Chem. Mater. 2010, 22, 1386.
73. Suntola, T. S.; Pakkala, A. J.; Lindfors, S. G. U.S. Patent 4389973, 1983.
74. Suntola, T. S.; Pakkala, A. J.; Lindfors, S. G. U.S. Patent 4413022, 1983.
75. Suntola, T. In Handbook of Crystal Growth; Hurle, D. T. J., Ed.; Elsevier: Amsterdam, 1994; p 601.
76. Ylilammi, M. J. Electrochem. Soc. 1995, 142, 2474.
77. Barua, D.; Gougousi, T.; Young, E. D.; Parsons, G. N. Appl. Phys. Lett. 2006, 88, 92904.
78. Haukka, S. ECS Trans. 2007, 3, 15.
79. Okuyama, Y.; Barelli, C.; Tousseau, C.; Park, S.; Senzaki, Y. J. Vac. Sci. Technol. A 2005, 23, L1.
80. Putkonen, M. In IMEC Workshop Atomic Layer Deposition for Applications in Nanotechnology; 2011. www.beneq.com.

www.iran-mavad.com
‫ﻣﺮﺟﻊ ﻣﻬﻨﺪﺳﯽ ﻣﻮاد و ﻣﺘﺎﻟﻮرژي‬
122 Atomic Layer Deposition

81. Verghese, M.; Battle, S.; Roeder, J. Semicond. Int. 2008, 31 (4), 24.
82. Glime, W.; Seidel, T. Semicond. Int. 2005, 28 (9), 76.
83. Aitchison, B. J.; Maula, J.; Lang, T.; Kuosmanen, P.; Härkönen, K.; Sonninen, M. U.S. Patent Appl. 0124131, 2004.
84. Kesälä, J. U.S. Patent 6699524, 2001.
85. Lehnert, W.; Ruhl, G.; Gschwandtner, A. J. Vac. Sci. Technol. A 2012, 30, 01A152.
86. Heil, S. B. S.; van Hemmen, J. L.; Hodson, C. J.; Singh, N.; Klootwijk, J. H.; Roozeboom, F.; van de Sanden, M. C. M.; Kessels, W. M. M. J. Vac. Sci. Technol. A 2007,
25, 1357.
87. van Hemmen, J. L.; Heil, S. B. S.; Klootwijk, J. H.; Roozeboom, F.; Hodson, C. J.; van de Sanden, M. C. M. J. Electrochem. Soc. 2007, 154, G165.
88. Heil, S. B. S.; Langereis, E.; Roozeboom, F.; van de Sanden, M. C. M.; Kessels, W. M. M. J. Electrochem. Soc. 2006, 153, G956.
89. Kariniemi, M.; Niinistö, J.; Vehkamäki, M.; Kemell, M.; Ritala, M.; Leskelä, M.; Putkonen, M. J. Vac. Sci. Technol. A 2012, 30, 01A115.
90. Knoops, H. C. M.; Langereis, E.; van de Sanden, M. C. M.; Kessels, W. M. M. J. Electrochem. Soc. 2010, 157, G241.
91. Poodt, P.; Cameron, D. C.; Dickey, E.; George, S. M.; Kuznetsov, V.; Parsons, G. N.; Roozeboom, F.; Sundaram, G.; Vermeer, A. J. Vac. Sci. Technol. A 2012, 30,
010802.
92. Suntola, T.; Antson, J. U.S. Patent 4058430, 1977.
93. Tischler, M. A.; Bedair, S. M. Appl. Phys. Lett. 1988, 48, 1681.
94. Poodt, P.; Lankhorst, A.; Roozeboom, F.; Spee, K.; Maas, D.; Vermeer, A. Adv. Mater. 2010, 22, 3564.
95. Ritala, M.; Kemell, M.; Lautala, M.; Niskanen, A.; Leskelä, M.; Lindfors, S. Chem. Vap. Deposition 2006, 12, 655.
96. Lindblad, M.; Haukka, S.; Kytökivi, A.; Lakomaa, E.-L.; Rautiainen, A.; Suntola, T. Appl. Surf. Sci. 1997, 121/122, 286.
97. Haukka, S.; Lakomaa, E.-L.; Suntola, T. Stud. Surf. Sci. Catal. 1998, 120, 715.
98. Hakim, L. F.; George, S. M.; Weimer, A. W. Nanotechnology 2005, 16, S375.
99. McCormick, J. A.; Clouter, B. L.; Weimer, A. W.; George, S. M. J. Vac. Sci. Technol. A 2007, 25, 67.
100. Suntola, T.; Antson, J.; Pakkala, A.; Lindfors, S. SID 80 Digest 1980, 11, 108.
101. Suntola, T. Mater. Sci. Rep. 1989, 4, 261.
102. Leskelä, M.; Niinistö, L. In Atomic Layer Epitaxy; Suntola, T., Simpson, M., Eds.; Blackie: Glasgow, 1990; p 1.
103. Törnqvist, R. Displays 1992, 13, 81.
104. Leskelä, M.; Li, W.-M.; Ritala, M. In Electroluminescence, Semiconductors and Semimetals; 1999; Vol. 4, p 413.
105. Paranjpe, A.; Gopinath, S.; Omstead, T.; Bubber, R. J. Electrochem. Soc. 2001, 148, G465.
106. Devasahayam, A. Solid State Technol. 2005, 48 (9), S16.
107. Kautzky, M.; Lamberton, R.; Chakravarty, S.; Stearns, L.; Kumar, A.; Dolejsi, J.; McKinlay, S.; Colaianni, L.; Perrey, C. AVS 3th International Conference on Atomic Layer
Deposition. San Jose, United States, 2003 (presentations available on CD-ROM).
108. Hwang, C. S. In Atomic Layer Deposition of Nanostructured Materials; Pinna, N., Knez, M., Eds.; Wiley-VCH: Weinheim, 2011; p 161.
109. Bohr, M. T.; Chan, R. S.; Ghani, T.; Mistry, K. IEEE Spectrum 2007, 44, 29.
110. Braun, A. E. Semicond. Int. 2001, 24 (10), 52.
111. Shibata, T.; Satoh, J.; Ogawa, J.; Abe, T.; Ishii, T.; Hasebe, K. Int. Symp. Semicond. Manuf. 2010, 1.
112. Sneck, S. Helsinki. In Nanotechnology in Northern Europe Conference; 2007.
113. Matero, R.; Ritala, M.; Leskelä, M.; Salo, T.; Aromaa, J.; Forsen, O. J. Phys. IV 1999, 9, Pr8–493.
114. Díaz, B.; Swiatowska, J.; Maurice, V.; Seyeux, A.; Härkönen, E.; Ritala, M.; Tervakangas, S.; Kolehmainen, J.; Marcus, P. Electrochim. Acta 2013, 90, 232.
115. van Delft, J. A.; Garcia-Alonso, D.; Kessels, W. M. M. Semicond. Sci. Technol. 2012, 27, 074002.
116. Cassir, M.; Ringuede, A.; Niinistö, L. J. Mater. Chem. 2010, 20, 8987.
117. Meng, X.; Yang, X.-Q.; Sun, X. Adv. Mater. 2012, 24, 3589.
118. Kumagai, H.; Toyoda, K.; Kobayashi, K.; Obara, M.; Iimura, Y. Appl. Phys. Lett. 1997, 70, 2338.
119. Ishii, M.; Iwai, S.; Kawata, H.; Ueki, T.; Aoyagi, Y. J. Cryst. Growth 1997, 180, 15.
120. Riihelä, D.; Ritala, M.; Matero, R.; Leskelä, M. Thin Solid Films 1996, 289, 250.
121. Wang, J. J.; Deng, X.; Varghese, R.; Nikolov, A.; Sciortino, P.; Liu, F.; Chen, L.; Liu, X. J. Vac. Sci. Technol. B 2005, 23, 3209.
122. Kochergein, V.; Foell, H. Mater. Sci. Eng. R 2006, 52, 93.
123. King, J. S.; Gaillot, D. P.; Graugnard, E.; Summers, C. J. Adv. Mater. 2006, 18, 1063.
124. Rugge, A.; Becker, J. S.; Gordon, R. G.; Tolbert, S. H. Nano Lett. 2003, 3, 1293.
125. King, J. S.; Neff, C. W.; Summers, C. J.; Park, W.; Blomquist, S.; Forsythe, E.; Morton, D. Appl. Phys. Lett. 2003, 83, 2566.
126. Jefimovs, K.; Laukkanen, J.; Vallius, T.; Pilvi, T.; Ritala, M.; Meilahti, T.; Kaipiainen, M.; Bavdaz, M.; Leskelä, M.; Turunen, J. Microelectron. Eng. 2006, 83, 1339.
127. Solehmainen, K.; Kapulainen, M.; Heimala, P.; Polamo, K. IEEE Photon. Tech. Lett. 2004, 16, 194.
128. Jefimovs, K.; Vila-Comamala, J.; Pilvi, T.; Raabe, J.; Ritala, M.; David, C. Phys. Rev. Lett. 2007, 99, 264801.
129. Ferguson, J. D.; Weimer, A. W.; George, S. M. Appl. Surf. Sci. 2000, 162–163, 280.
130. Wilson, C. A.; Grubbs, R. K.; George, S. M. Chem. Mater. 2005, 17, 5625.
131. Niskanen, A.; Arstila, K.; Ritala, M.; Leskelä, M. J. Electrochem. Soc. 2005, 152, F90.
132. Dameron, A. A.; George, S. M.; Garcia, P. F.; McLean, R. S. AVS 7th International Conference on Atomic Layer Deposition. San Diego, United States, 2007 (presentations
available on CD-ROM).
133. Pore, V.; Rahtu, A.; Leskelä, M.; Ritala, M.; Sajavaara, T.; Keinonen, J. Chem. Vap. Deposition 2004, 10, 143.
134. Kemell, M.; Pore, V.; Tupala, J.; Ritala, M.; Leskelä, M. Chem. Mater. 2007, 19, 1816.
135. Stoldt, C. R.; Bright, V. M. J. Phys. D: Appl. Phys. 2006, 39, R163.
136. Mayer, T. M.; Elam, J. W.; George, S. M.; Kotula, P. G.; Goeke, R. S. Appl. Phys. Lett. 2003, 82, 2883.
137. Scharf, T. W.; Prasad, S. V.; Dugger, M. T.; Kotula, P. G.; Goeke, R. S.; Grubbs, R. K. Acta Mater. 2006, 54, 4731.
138. Hoivik, N. D.; Elam, J. W.; Linderman, R. J.; Bright, V. M.; George, S. M.; Lee, Y. C. Sens. Actuators A 2003, 103, 100.
139. Herrmann, C. F.; DelRio, F. W.; Miller, D. C.; George, S. M.; Bright, V. M.; Ebel, J. L.; Strawser, R. E.; Cortez, R.; Leedy, K. D. Sens. Actuators A 2007, 135, 262.
140. Pinna, N., Knez, M., Eds. Atomic Layer Deposition of Nanostructured Materials; Wiley-VCH: Weinheim, 2011; p 435.
141. Javey, A.; Kim, H.; Brink, M.; Wang, Q.; Ural, A.; Guo, J.; McIntyre, P.; McEuen, P.; Lundstrom, M.; Dai, H. Nature Mater. 2002, 1, 241.
142. Farmer, D. B.; Gordon, R. G. Nano Lett. 2006, 6, 699.
143. Xiang, J.; Lu, W.; Hu, Y.; Yan, H.; Lieber, C. M