SystemVerilog Testbench Constructs - Synopsys
SystemVerilog Testbench Constructs - Synopsys
Testbench Constructs
Alex Wakefield
Synopsys, Inc.
¾ Introduction
• SystemVerilog Language Features
Data Types
Classes
Constraints
Threads
Functional Coverage
• Conclusion
Advanced
Transaction-Level V
As er verification capability
be g
Full Testbench
st ilo
h
se ilog for semiformal and
nc
Te Ver
Language with rt
io formal methods.
Coverage n
IEEE The Assertion
Language Standard
Verilog For Verilog
2001 PI
Ar
A e
Ve itect
Design c
ch
&
ril ur
Abstraction: I rf a
og al
Interface
P
D nte
Direct C interface,
I Assertion API and
semantics, abstract
Coverage API
data types,
abstract operators
and expressions
© 2004 Synopsys, Inc. (3)
SystemVerilog Benefits (Testbench)
Operator
Packages Overloading
Associative
Dynamic & Sparse arrays
Architecture Simple assertions pointers
memory
configuration allocation
User-defined types Void type Further
records/ programming
Dynamic enums structs Unions
multi-D arrays (do while,
hardware
break, continue,
generation Automatic variables Signed numbers Strings
++, --, +=. etc)
¾ Introduction
• SystemVerilog Language Features
Data Types
Classes
Constraints
Threads
Functional Coverage
• Conclusion
IR is a struct variable
pkt_t mypkt;
vec_t myvec;
Cast mypkt as type vec_t
myvec = vec_t’(mypkt);
mypkt = pkt_t’(myvec);
Cast myvec as type pkt_t
• Explicitly Typed
Allows compile time error checking
Example
Example Ideal for Dealing with Sparse Data
¾ Introduction
• SystemVerilog Language Features
Data Types
Classes
Constraints
Threads
Functional Coverage
• Conclusion
•Inheritance
err_t extends pkt_t;
Allows hierarchical definition of err_t myPkt = new;
objects. Subclass inherits from cmd
base class status get_status
Packet myPkt;
myPkt = new;
Call to “new” method
allocates storage for object
myPkt
cmd
status
header
show_err
header header
set_cmd set_cmd
cmd = a; err cmd = a+1;
cell Base
BaseClass
Class
Inheritance
Inheritance
¾ Introduction
• SystemVerilog Language Features
Data Types
Classes
Constraints
Threads
Functional Coverage
• Conclusion
Y
B
Z
C
• Constraints
Declarative constraints built into class system
• Built-in randomize method calls constraint solver
• randomize with construct adds constraints in-line
class packet {
rand bit [7:0] src;
rand bit [7:0] dst;
constraint valid { src[0] == 0; }
constraint legal { dst < 10; }
}
packet pkt = new();
void = pkt.randomize() with { dst > 2 };
constrain multiple
random variables X
simultaneously
Z
class packet {
rand bit [7:0] src;
rand bit [7:0] dst;
constraint valid { src[0] == 0; }
constraint legal { dst < 10; }
constraint corner { src == dst + 1; }
}
¾ Introduction
• SystemVerilog Language Features
Data Types
Classes
Constraints
Threads
Functional Coverage
• Conclusion
sequence abc;
@(posedge clk) a ##1 b ##1 c;
endsequence program test;
initial begin
@abc $display(“Detected a-b-c seq”);
end
endprogram
packetN
packet1
mbID.get(msg);
mbID.put(msg);
¾ Introduction
• SystemVerilog Language Features
Data Types
Classes
Constraints
Threads
Functional Coverage
• Conclusion
• Random testing:
Did it work?
What did it do?
• Coverage is a list of items to test
Replaces test list
• When you get to 100%, design is done
• Shows testing progress
¾ Introduction
• SystemVerilog Language Features
Data Types
Classes
Constraints
Threads
Functional Coverage
• Conclusion
Q&A