ModelSim Users Manual v10.1c PDF
ModelSim Users Manual v10.1c PDF
This document contains information that is proprietary to Mentor Graphics Corporation. The original recipient of this
document may duplicate this document in whole or in part for internal business purposes only, provided that this entire
notice appears in all copies. In duplicating any part of this document, the recipient agrees to make every reasonable
effort to prevent the unauthorized use and distribution of the proprietary information.
This document is for information and instruction purposes. Mentor Graphics reserves the right to make
changes in specifications and other information contained in this publication without prior notice, and the
reader should, in all cases, consult Mentor Graphics to determine whether any changes have been
made.
The terms and conditions governing the sale and licensing of Mentor Graphics products are set forth in
written agreements between Mentor Graphics and its customers. No representation or other affirmation
of fact contained in this publication shall be deemed to be a warranty or give rise to any liability of Mentor
Graphics whatsoever.
MENTOR GRAPHICS MAKES NO WARRANTY OF ANY KIND WITH REGARD TO THIS MATERIAL
INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND
FITNESS FOR A PARTICULAR PURPOSE.
MENTOR GRAPHICS SHALL NOT BE LIABLE FOR ANY INCIDENTAL, INDIRECT, SPECIAL, OR
CONSEQUENTIAL DAMAGES WHATSOEVER (INCLUDING BUT NOT LIMITED TO LOST PROFITS)
ARISING OUT OF OR RELATED TO THIS PUBLICATION OR THE INFORMATION CONTAINED IN IT,
EVEN IF MENTOR GRAPHICS CORPORATION HAS BEEN ADVISED OF THE POSSIBILITY OF
SUCH DAMAGES.
U.S. Government Restricted Rights. The SOFTWARE and documentation have been developed entirely
at private expense and are commercial computer software provided with restricted rights. Use,
duplication or disclosure by the U.S. Government or a U.S. Government subcontractor is subject to the
restrictions set forth in the license agreement provided with the software pursuant to DFARS 227.7202-
3(a) or as set forth in subparagraph (c)(1) and (2) of the Commercial Computer Software - Restricted
Rights clause at FAR 52.227-19, as applicable.
Contractor/manufacturer is:
Mentor Graphics Corporation
8005 S.W. Boeckman Road, Wilsonville, Oregon 97070-7777.
Telephone: 503.685.7000
Toll-Free Telephone: 800.592.2210
Website: [Link]
SupportNet: [Link]/
Send Feedback on Documentation: [Link]/doc_feedback_form
TRADEMARKS: The trademarks, logos and service marks ("Marks") used herein are the property of
Mentor Graphics Corporation or other third parties. No one is permitted to use these Marks without the
prior written consent of Mentor Graphics or the respective third-party owner. The use herein of a third-
party Mark is not an attempt to indicate Mentor Graphics as a source of a product, but is intended to
indicate a product from, or associated with, a particular third party. A current list of Mentor Graphics’
trademarks may be viewed at: [Link]/trademarks.
Table of Contents
Chapter 1
Introduction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33
Operational Structure and Flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33
Simulation Task Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34
Basic Steps for Simulation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35
Step 1 — Collect Files and Map Libraries . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36
Step 2 — Compile the Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37
Step 3 — Load the Design for Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38
Step 4 — Simulate the Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38
Step 5 — Debug the Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39
Modes of Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39
Command Line Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40
Batch Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41
Definition of an Object . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41
Standards Supported . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41
Assumptions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42
Text Conventions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 43
Installation Directory Pathnames. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 43
Deprecated Features, Commands, and Variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 43
Chapter 2
Graphical User Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45
Design Object Icons and Their Meanings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 47
Setting Fonts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 48
Using the Find and Filter Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49
Using the Find Options Popup Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52
User-Defined Radices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52
Using the radix define Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53
Saving and Reloading Formats and Content . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57
Active Time Label . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57
Window Specific Keyboard Shortcuts. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58
Bookmarks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 59
Working with Bookmarks. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 59
Managing Your Bookmarks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 60
Main Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63
Elements of the Main Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63
Selecting the Active Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70
Rearranging the Main Window. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70
Navigating in the Main Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72
Main Window Menu Bar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72
Main Window Toolbar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80
Call Stack Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 103
Chapter 3
Protecting Your Source Code . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 215
Creating Encryption Envelopes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 215
Configuring the Encryption Envelope . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 216
Protection Expressions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 218
Using the `include Compiler Directive (Verilog only) . . . . . . . . . . . . . . . . . . . . . . . . . . . . 220
Compiling with +protect . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 223
The Runtime Encryption Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 224
Language-Specific Usage Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 225
Usage Models for Protecting Verilog Source Code . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 225
Usage Models for Protecting VHDL Source Code. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 230
Proprietary Source Code Encryption Tools . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 237
Using Proprietary Compiler Directives . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 238
Protecting Source Code Using -nodebug . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 239
Encryption Reference. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 240
Encryption and Encoding Methods. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 241
How Encryption Envelopes Work . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 242
Chapter 4
Projects. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 247
What are Projects? . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 247
What are the Benefits of Projects? . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 247
Project Conversion Between Versions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 248
Getting Started with Projects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 248
Step 1 — Creating a New Project . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 249
Step 2 — Adding Items to the Project . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 250
Step 3 — Compiling the Files. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 251
Step 4 — Simulating a Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 254
Other Basic Project Operations. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 256
The Project Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 256
Sorting the List . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 257
Creating a Simulation Configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 257
Organizing Projects with Folders. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 259
Adding a Folder . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 259
Specifying File Properties and Project Settings. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 261
File Compilation Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 261
Project Settings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 263
Accessing Projects from the Command Line. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 264
Chapter 5
Design Libraries . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 265
Design Library Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 265
Design Unit Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 265
Working Library Versus Resource Libraries . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 265
Archives . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 266
Working with Design Libraries . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 266
Creating a Library . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 267
Managing Library Contents . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 267
Assigning a Logical Name to a Design Library . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 268
Moving a Library . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 270
Setting Up Libraries for Group Use . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 270
Specifying Resource Libraries. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 271
Verilog Resource Libraries . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 271
VHDL Resource Libraries . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 271
Predefined Libraries . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 272
Alternate IEEE Libraries Supplied . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 272
Regenerating Your Design Libraries . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 272
Importing FPGA Libraries. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 273
Protecting Source Code . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 274
Chapter 6
VHDL Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 275
Basic VHDL Usage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 275
Chapter 7
Verilog and SystemVerilog Simulation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 309
Standards, Nomenclature, and Conventions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 309
Basic Verilog Usage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 311
Verilog Compilation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 311
Creating a Working Library . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 311
Invoking the Verilog Compiler. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 312
Initializing enum Variables. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 315
Incremental Compilation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 315
Library Usage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 317
Chapter 8
Recording Simulation Results With Datasets. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 369
Saving a Simulation to a WLF File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 370
WLF File Parameter Overview. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 371
Limiting the WLF File Size . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 373
Multithreading on Linux Platforms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 374
Opening Datasets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 374
Viewing Dataset Structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 375
Structure Tab Columns . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 376
Managing Multiple Datasets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 376
Managing Multiple Datasets in the GUI. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 376
Command Line . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 376
Restricting the Dataset Prefix Display . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 378
Saving at Intervals with Dataset Snapshot. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 378
Chapter 9
Waveform Analysis. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 385
Objects You Can View . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 385
Wave Window Overview. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 385
Wave Window Panes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 386
Adding Objects to the Wave Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 388
Adding Objects with Mouse Actions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 389
Adding Objects with Menu Selections . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 389
Adding Objects with a Command. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 389
Adding Objects with a Window Format File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 389
Inserting Signals in a Specific Location . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 390
Working with Cursors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 391
Adding Cursors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 393
Jumping to a Signal Transition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 393
Measuring Time with Cursors in the Wave Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 394
Syncing All Active Cursors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 394
Linking Cursors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 395
Understanding Cursor Behavior . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 396
Shortcuts for Working with Cursors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 396
Expanded Time in the Wave Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 396
Expanded Time Terminology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 397
Recording Expanded Time Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 397
Viewing Expanded Time Information in the Wave Window . . . . . . . . . . . . . . . . . . . . . . . 398
Selecting the Expanded Time Display Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 402
Switching Between Time Modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 403
Expanding and Collapsing Simulation Time . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 403
Zooming the Wave Window Display . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 404
Zooming with the Menu, Toolbar and Mouse . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 404
Saving Zoom Range and Scroll Position with Bookmarks. . . . . . . . . . . . . . . . . . . . . . . . . 405
Searching in the Wave Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 406
Searching for Values or Transitions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 407
Using the Expression Builder for Expression Searches . . . . . . . . . . . . . . . . . . . . . . . . . . . 408
Filtering the Wave Window Display . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 411
Formatting the Wave Window. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 411
Setting Wave Window Display Preferences . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 411
Formatting Objects in the Wave Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 414
Dividing the Wave Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 417
Splitting Wave Window Panes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 419
Wave Groups . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 420
Creating a Wave Group . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 420
Deleting or Ungrouping a Wave Group . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 423
Chapter 10
Debugging with the Dataflow Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 441
Dataflow Window Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 441
Dataflow Usage Flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 442
Post-Simulation Debug Flow Details . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 442
Common Tasks for Dataflow Debugging . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 443
Adding Objects to the Dataflow Window. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 444
Exploring the Connectivity of the Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 446
Exploring Designs with the Embedded Wave Viewer . . . . . . . . . . . . . . . . . . . . . . . . . . . . 449
Tracing Events . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 451
Tracing the Source of an Unknown State (StX) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 451
Finding Objects by Name in the Dataflow Window. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 453
Automatically Tracing All Paths Between Two Nets. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 453
Dataflow Concepts. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 455
Symbol Mapping. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 455
Current vs. Post-Simulation Command Output . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 457
Dataflow Window Graphic Interface Reference . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 458
What Can I View in the Dataflow Window? . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 458
How is the Dataflow Window Linked to Other Windows? . . . . . . . . . . . . . . . . . . . . . . . . 458
How Can I Print and Save the Display? . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 459
How Do I Configure Window Options? . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 461
Chapter 11
Source Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 463
Creating and Editing Source Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 463
Creating New Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 463
Opening Existing Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 463
Editing Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 464
Language Templates . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 464
Searching for Code . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 469
Navigating Through Your Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 471
Data and Objects in the Source Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 472
Determining Object Values and Descriptions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 472
Debugging and Textual Connectivity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 474
Hyperlinked Text . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 474
Highlighted Text in the Source Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 474
Dragging Source Window Objects Into Other Windows . . . . . . . . . . . . . . . . . . . . . . . . . . 475
Breakpoints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 475
Setting Individual Breakpoints in a Source File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 475
Setting Breakpoints with the bp Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 476
Editing Breakpoints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 476
Saving and Restoring Breakpoints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 478
Setting Conditional Breakpoints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 479
Run Until Here . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 481
Source Window Bookmarks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 482
Setting and Removing Bookmarks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 482
Setting Source Window Preferences.. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 482
Chapter 12
Signal Spy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 485
Signal Spy Formatting Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 486
Signal Spy Supported Types . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 486
disable_signal_spy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 487
enable_signal_spy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 489
init_signal_driver . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 491
init_signal_spy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 495
signal_force. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 499
signal_release . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 503
Chapter 13
Generating Stimulus with Waveform Editor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 505
Getting Started with the Waveform Editor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 505
Using Waveform Editor Prior to Loading a Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 505
Using Waveform Editor After Loading a Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 506
Creating Waveforms from Patterns . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 507
Creating Waveforms with Wave Create Command. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 508
Editing Waveforms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 509
Selecting Parts of the Waveform . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 510
Stretching and Moving Edges. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 512
Simulating Directly from Waveform Editor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 512
Chapter 14
Standard Delay Format (SDF) Timing Annotation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 515
Specifying SDF Files for Simulation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 515
Instance Specification . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 515
SDF Specification with the GUI . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 516
Errors and Warnings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 516
VHDL VITAL SDF . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 517
SDF to VHDL Generic Matching . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 517
Resolving Errors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 518
Verilog SDF . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 518
$sdf_annotate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 519
SDF to Verilog Construct Matching . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 520
Retain Delay Behavior . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 523
Optional Edge Specifications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 525
Optional Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 526
Rounded Timing Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 526
SDF for Mixed VHDL and Verilog Designs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 527
Interconnect Delays . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 527
Disabling Timing Checks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 527
Troubleshooting . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 528
Specifying the Wrong Instance. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 528
Matching a Single Timing Check . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 529
Mistaking a Component or Module Name for an Instance Label. . . . . . . . . . . . . . . . . . . . 529
Forgetting to Specify the Instance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 529
Chapter 15
Value Change Dump (VCD) Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 531
Creating a VCD File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 531
Four-State VCD File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 531
Extended VCD File. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 532
VCD Case Sensitivity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 532
Using Extended VCD as Stimulus. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 532
Simulating with Input Values from a VCD File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 533
Replacing Instances with Output Values from a VCD File . . . . . . . . . . . . . . . . . . . . . . . . 534
VCD Commands and VCD Tasks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 536
Using VCD Commands with SystemC. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 537
Compressing Files with VCD Tasks. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 538
VCD File from Source to Output. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 538
VHDL Source Code . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 538
VCD Simulator Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 539
VCD Output . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 540
VCD to WLF . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 541
Capturing Port Driver Data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 541
Chapter 16
Tcl and Macros (DO Files) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 547
Tcl Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 547
Tcl References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 547
Tcl Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 548
Tcl Command Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 548
If Command Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 551
Command Substitution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 551
Command Separator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 552
Multiple-Line Commands. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 552
Evaluation Order. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 552
Tcl Relational Expression Evaluation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 552
Variable Substitution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 553
System Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 553
Simulator State Variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 553
Referencing Simulator State Variables. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 555
Special Considerations for the now Variable . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 555
List Processing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 556
Simulator Tcl Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 556
Simulator Tcl Time Commands. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 557
Conversions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 558
Relations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 558
Arithmetic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 559
Tcl Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 559
Macros (DO Files) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 561
Creating DO Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 561
Using Parameters with DO Files. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 562
Deleting a File from a .do Script. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 562
Making Macro Parameters Optional. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 563
Error Action in DO Files. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 565
Appendix A
[Link] Variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 567
Organization of the [Link] File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 567
Making Changes to the [Link] File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 567
Changing the [Link] Read-Only Attribute . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 568
The Runtime Options Dialog . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 568
Editing [Link] Variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 572
Overriding the Default Initialization File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 572
Variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 573
AddPragmaPrefix . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 573
AmsStandard. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 574
AssertFile . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 574
BindAtCompile . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 574
BreakOnAssertion. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 575
CheckPlusargs. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 575
CheckpointCompressMode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 576
CheckSynthesis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 576
ClassDebug . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 576
CommandHistory . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 576
CompilerTempDir. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 577
ConcurrentFileLimit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 577
CreateDirForFileAccess . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 577
DatasetSeparator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 578
DefaultForceKind . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 578
DefaultRadix . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 578
DefaultRadixFlags . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 579
DefaultRestartOptions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 580
DelayFileOpen . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 580
displaymsgmode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 581
DpiOutOfTheBlue. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 581
DumpportsCollapse. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 582
EnumBaseInit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 582
error. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 582
ErrorFile . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 583
Explicit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 583
fatal . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 583
floatfixlib. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 584
ForceSigNextIter. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 584
ForceUnsignedIntegerToVHDLInteger . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 584
FsmImplicitTrans . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 585
FsmResetTrans . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 585
FsmSingle . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 585
FsmXAssign . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 586
GenerateFormat. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 586
GenerateLoopIterationMax. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 587
GenerateRecursionDepthMax. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 587
GenerousIdentifierParsing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 587
GlobalSharedObjectsList . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 588
Hazard . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 588
ieee . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 588
IgnoreError . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 588
IgnoreFailure. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 589
IgnoreNote . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 589
IgnorePragmaPrefix . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 590
ignoreStandardRealVector . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 590
IgnoreVitalErrors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 590
IgnoreWarning . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 591
ImmediateContinuousAssign . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 591
IncludeRecursionDepthMax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 591
InitOutCompositeParam . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 592
IterationLimit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 592
LargeObjectSilent . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 592
LargeObjectSize . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 593
LibrarySearchPath. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 593
License . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 593
MaxReportRhsCrossProducts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 594
MessageFormat . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 594
MessageFormatBreak . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 595
MessageFormatBreakLine . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 595
MessageFormatError. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 596
MessageFormatFail. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 596
MessageFormatFatal . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 596
MessageFormatNote . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 597
MessageFormatWarning . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 597
MixedAnsiPorts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 597
modelsim_lib . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 598
msgmode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 598
mtiAvm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 598
mtiOvm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 598
MultiFileCompilationUnit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 599
NoCaseStaticError . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 599
NoDebug . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 600
NoDeferSubpgmCheck . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 600
NoIndexCheck . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 600
NoOthersStaticError . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 601
NoRangeCheck . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 601
note . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 601
NoVital . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 602
NoVitalCheck . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 602
NumericStdNoWarnings. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 602
OldVHDLConfigurationVisibility . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 603
OldVhdlForGenNames . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 603
OnFinish . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 604
Optimize_1164 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 604
PathSeparator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 604
PedanticErrors. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 605
PliCompatDefault . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 605
PreserveCase . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 607
PrintSimStats . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 607
Quiet . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 607
RequireConfigForAllDefaultBinding . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 608
Resolution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 608
RunLength. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 609
SeparateConfigLibrary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 609
Show_BadOptionWarning . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 609
Show_Lint. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 610
Show_source . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 610
Show_VitalChecksWarnings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 610
Show_Warning1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 610
Show_Warning2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 611
Show_Warning3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 611
Show_Warning4 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 611
Show_Warning5 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 611
ShowFunctions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 612
ShutdownFile . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 612
SignalSpyPathSeparator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 612
Startup . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 613
std . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 613
std_developerskit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 613
StdArithNoWarnings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 614
suppress. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 614
SuppressFileTypeReg . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 614
sv_std . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 615
SVExtensions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 615
SVFileExtensions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 616
Svlog . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 616
synopsys . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 616
SyncCompilerFiles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 616
TranscriptFile . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 617
UnbufferedOutput . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 617
UserTimeUnit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 618
UVMControl . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 618
verilog . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 619
Veriuser. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 619
VHDL93 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 619
VhdlVariableLogging . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 620
vital2000 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 620
vlog95compat . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 621
WarnConstantChange . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 621
warning . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 621
WaveSignalNameWidth . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 622
WLFCacheSize . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 622
WLFCollapseMode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 622
WLFCompress . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 623
WLFDeleteOnQuit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 623
WLFFileLock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 624
WLFFilename . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 624
WLFOptimize . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 624
WLFSaveAllRegions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 625
WLFSimCacheSize. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 625
WLFSizeLimit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 626
WLFTimeLimit. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 626
WLFUpdateInterval . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 626
WLFUseThreads . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 627
Commonly Used [Link] Variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 627
Common Environment Variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 627
Hierarchical Library Mapping . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 628
Creating a Transcript File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 628
Using a Startup File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 629
Appendix B
Location Mapping. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 633
Referencing Source Files with Location Maps . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 633
Using Location Mapping . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 633
Pathname Syntax. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 634
How Location Mapping Works . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 634
Mapping with TCL Variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 634
Appendix C
Error and Warning Messages . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 635
Message System. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 635
Message Format . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 635
Getting More Information. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 635
Changing Message Severity Level . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 636
Suppressing Warning Messages . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 636
Suppressing VCOM Warning Messages . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 636
Suppressing VLOG Warning Messages . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 637
Suppressing VSIM Warning Messages . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 637
Exit Codes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 637
Miscellaneous Messages . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 639
Enforcing Strict 1076 Compliance. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 642
Appendix D
Verilog Interfaces to C . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 645
Implementation Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 645
GCC Compiler Support for use with C Interfaces . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 647
Registering PLI Applications. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 647
Registering VPI Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 649
Registering DPI Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 650
DPI Use Flow. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 651
DPI and the vlog Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 652
When Your DPI Export Function is Not Getting Called . . . . . . . . . . . . . . . . . . . . . . . . . . 653
Troubleshooting a Missing DPI Import Function. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 653
Simplified Import of Library Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 654
Optimizing DPI Import Call Performance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 655
Making Verilog Function Calls from non-DPI C Models . . . . . . . . . . . . . . . . . . . . . . . . . 655
Calling C/C++ Functions Defined in PLI Shared Objects from DPI Code . . . . . . . . . . . . 656
Compiling and Linking C Applications for Interfaces . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 656
Windows Platforms — C . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 657
Compiling and Linking C++ Applications for Interfaces . . . . . . . . . . . . . . . . . . . . . . . . . . . 658
Windows Platforms — C++ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 659
Appendix E
Command and Keyboard Shortcuts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 675
Command Shortcuts. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 675
Command History Shortcuts. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 675
Main and Source Window Mouse and Keyboard Shortcuts . . . . . . . . . . . . . . . . . . . . . . . . . 676
List of Keyboard Shortcuts in GUI Windows. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 679
List Window Keyboard Shortcuts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 680
Wave Window Mouse and Keyboard Shortcuts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 680
Appendix F
Setting GUI Preferences . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 683
Customizing the Simulator GUI Layout . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 683
Layout Mode Loading Priority . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 683
Configure Window Layouts Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 684
Creating a Custom Layout Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 684
Changing Layout Mode Behavior. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 684
Resetting a Layout Mode to its Default . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 685
Deleting a Custom Layout Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 685
Configuring Default Windows for Restored Layouts. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 685
Simulator GUI Preferences . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 685
Setting Preference Variables from the GUI . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 686
Saving GUI Preferences . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 688
The [Link] File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 688
GUI Preference Variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 689
Wave Window Variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 689
Appendix G
System Initialization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 691
Files Accessed During Startup. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 691
Initialization Sequence. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 691
Environment Variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 694
Environment Variable Expansion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 694
Setting Environment Variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 695
Creating Environment Variables in Windows . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 700
Referencing Environment Variables. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 701
Removing Temp Files (VSOUT) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 701
Index
Third-Party Information
End-User License Agreement
Figure 10-8. Unknown States Shown as Red Lines in Wave Window . . . . . . . . . . . . . . . . . 452
Figure 10-9. Dataflow: Point-to-Point Tracing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 454
Figure 10-10. The Print Postscript Dialog . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 459
Figure 10-11. The Print Dialog . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 460
Figure 10-12. The Page Setup Dialog . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 460
Figure 10-13. Dataflow Options Dialog . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 461
Figure 11-1. Language Templates . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 465
Figure 11-2. New Design Wizard Adding Ports . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 466
Figure 11-3. Language Template Block1 Added to Source Code . . . . . . . . . . . . . . . . . . . . . 467
Figure 11-4. Inserting Module Statement from Verilog Language Template . . . . . . . . . . . . 467
Figure 11-5. Expanding list_of_ansi_params . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 468
Figure 11-6. Language Template Context Menus . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 469
Figure 11-7. Bookmark All Instances of a Search. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 470
Figure 11-8. Setting Context from Source Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 471
Figure 11-9. Examine Pop Up . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 472
Figure 11-10. Time Indicator in Source Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 473
Figure 11-11. Enter an Event Time Value . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 473
Figure 11-12. Breakpoint in the Source Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 476
Figure 11-13. Editing Existing Breakpoints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 477
Figure 11-14. Source Code for [Link]. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 479
Figure 11-15. Preferences By - Window Tab . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 483
Figure 13-1. Waveform Editor: Library Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 506
Figure 13-2. Opening Waveform Editor from Structure or Objects Windows . . . . . . . . . . . 507
Figure 13-3. Create Pattern Wizard . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 508
Figure 13-4. Wave Edit Toolbar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 509
Figure 13-5. Manipulating Waveforms with the Wave Edit Toolbar and Cursors . . . . . . . . 511
Figure 13-6. Export Waveform Dialog . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 513
Figure 13-7. Evcd Import Dialog. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 514
Figure 14-1. SDF Tab in Start Simulation Dialog . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 516
Figure A-1. Runtime Options Dialog: Defaults Tab . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 569
Figure A-2. Runtime Options Dialog Box: Severity Tab . . . . . . . . . . . . . . . . . . . . . . . . . . . 570
Figure A-3. Runtime Options Dialog Box: WLF Files Tab . . . . . . . . . . . . . . . . . . . . . . . . . 571
Figure D-1. DPI Use Flow Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 651
Figure E-1. Schematic Window Keyboard Shortcuts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 679
Figure F-1. Change Text Fonts for Selected Windows . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 687
Figure F-2. Making Global Font Changes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 687
Figure F-3. Modifying Signal Display Attributes in the Wave Window. . . . . . . . . . . . . . . . 690
Table 14-19. SDF Data May Be More Accurate Than Model . . . . . . . . . . . . . . . . . . . . . . . 525
Table 14-20. Matching Explicit Verilog Edge Transitions to Verilog . . . . . . . . . . . . . . . . . 525
Table 14-21. SDF Timing Check Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 526
Table 14-22. SDF Path Delay Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 526
Table 14-23. Disabling Timing Checks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 527
Table 15-1. VCD Commands and SystemTasks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 536
Table 15-2. VCD Dumpport Commands and System Tasks . . . . . . . . . . . . . . . . . . . . . . . . 536
Table 15-3. VCD Commands and System Tasks for Multiple VCD Files . . . . . . . . . . . . . . 537
Table 15-4. SystemC Types . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 538
Table 15-5. Driver States . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 541
Table 15-6. State When Direction is Unknown . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 541
Table 15-7. Driver Strength . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 542
Table 15-8. VCD Values When Force Command is Used . . . . . . . . . . . . . . . . . . . . . . . . . . 543
Table 15-9. Values for file_format Argument . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 545
Table 15-10. Sample Driver Data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 546
Table 16-1. Changes to ModelSim Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 548
Table 16-2. Tcl Backslash Sequences . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 550
Table 16-3. Tcl List Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 556
Table 16-4. Simulator-Specific Tcl Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 556
Table 16-5. Tcl Time Conversion Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 558
Table 16-6. Tcl Time Relation Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 558
Table 16-7. Tcl Time Arithmetic Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 559
Table 16-8. Commands for Handling Breakpoints and Errors in Macros . . . . . . . . . . . . . . 564
Table A-1. Runtime Option Dialog: Defaults Tab Contents . . . . . . . . . . . . . . . . . . . . . . . . 569
Table A-2. Runtime Option Dialog: Severity Tab Contents . . . . . . . . . . . . . . . . . . . . . . . . . 571
Table A-3. Runtime Option Dialog: WLF Files Tab Contents . . . . . . . . . . . . . . . . . . . . . . . 571
Table A-4. Commands for Overriding the Default Initialization File . . . . . . . . . . . . . . . . . 573
Table A-5. License Variable: License Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 593
Table A-6. MessageFormat Variable: Accepted Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . 594
Table C-1. Severity Level Types . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 635
Table C-2. Exit Codes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 637
Table D-1. VPI Compatibility Considerations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 646
Table D-2. vsim Arguments for DPI Application Using External Compilation Flows . . . . 660
Table D-3. Supported VHDL Objects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 666
Table D-4. Supported ACC Routines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 668
Table D-5. Supported TF Routines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 670
Table D-6. Values for action Argument . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 672
Table E-1. Command History Shortcuts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 675
Table E-2. Mouse Shortcuts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 676
Table E-3. Keyboard Shortcuts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 677
Table E-4. List Window Keyboard Shortcuts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 680
Table E-5. Wave Window Mouse Shortcuts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 680
Table E-6. Wave Window Keyboard Shortcuts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 681
Table F-1. Global Fonts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 687
Table F-2. Default ListTranslateTable Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 689
Documentation for ModelSim is intended for users of UNIX, Linux, and Microsoft Windows.
Not all versions of ModelSim are supported on all platforms. For more information on your
platform or operating system, contact your Mentor Graphics sales representative.
VHDL
Design
Libraries vlib
Map libraries
vlog/
Design
files vcom Verilog/VHDL
Analyze/ Analyze/
Compile Compile
.ini or
.mpf file
compiled
database
vsim Simulate
Simulation Output
(for example, vcd)
Post-processing Debug
• design files (VHDL and/or Verilog ), including stimulus for the design
• libraries, both working and resource
• [Link] file (automatically created by the library mapping command)
For detailed information on the files accessed during system startup (including the [Link]
file), initialization sequences, and system environment variables, see the Appendix entitled
“System Initialization”.
What is a Library?
A library is a location on your file system where ModelSim stores data to be used for
simulation. ModelSim uses one or more libraries to manage the creation of data before it is
needed for use in simulation. A library also helps to streamline simulation invocation. Instead of
compiling all design data each time you simulate, ModelSim uses binary pre-compiled data
from its libraries. For example, if you make changes to a single Verilog module, ModelSim
recompiles only that module, rather than all modules in the design.
• As a local working library that contains the compiled version of your design
• As a resource library
The contents of your working library will change as you update your design and recompile. A
resource library is typically unchanging, and serves as a parts source for your design. Examples
of resource libraries are shared information within your group, vendor libraries, packages, or
previously compiled elements of your own working design. You can create your own resource
libraries, or they may be supplied by another design team or a third party (for example, a silicon
vendor).
For more information on resource libraries and working libraries, refer to Working Library
Versus Resource Libraries, Managing Library Contents, Working with Design Libraries, and
Specifying Resource Libraries.
vlib work
creates a library named work. By default, compilation results are stored in the work library.
By default, ModelSim can find libraries in your current directory (assuming they have the right
name), but for it to find libraries located elsewhere, you need to map a logical library name to
the pathname of the library.
You can use the GUI (Library Mappings with the GUI), a command (Library Mapping from the
Command Line), or a project (Getting Started with Projects) to assign a logical name to a design
library.
This command sets the mapping between a logical library name and a directory.
Use braces ({}) for cases where the path contains multiple items that need to be escaped, such as
spaces in the pathname or backslash characters. For example:
• vlog — Verilog
• vcom — VHDL
• sccom — SystemC
After the simulator loads the top-level modules, it iteratively loads the instantiated modules and
UDPs in the design hierarchy, linking the design together by connecting the ports and resolving
hierarchical references.
• add wave
• bp
• force
• run
• step
• describe
• drivers
• examine
• force
• log
• show
Modes of Operation
Many users run ModelSim interactively with the graphical user interface (GUI)—using the
mouse to perform actions from the main menu or in dialog boxes. However, there are really
three modes of ModelSim operation, as described in Table 1-2.
The ModelSim User’s Manual focuses primarily on the GUI mode of operation. However, this
section provides an introduction to the Command-line and Batch modes.
A command is available to help batch users access commands not available for use in batch
mode. Refer to the batch_mode command in the ModelSim Reference Manual for more details.
During simulation a transcript file is created containing any messages to stdout. A transcript file
created in command line mode may be used as a DO file if you invoke the transcript on
command after the design loads (see the example below). The transcript on command writes all
of the commands you invoke to the transcript file.
For example, the following series of commands results in a transcript file that can be used for
command input if top is re-simulated (remove the quit -f command from the transcript file if
you want to remain in the simulator).
vsim -c top
transcript on
force clk 1 50, 0 100 -repeat 100
run 500
run @5000
quit -f
Rename a transcript file that you intend to use as a DO file—if you do not rename it, ModelSim
will overwrite it the next time you run vsim. Also, simulator messages are already commented
out, but any messages generated from your design (and subsequently written to the transcript
file) will cause the simulator to pause. A transcript file that contains only valid simulator
commands will work fine; comment out anything else with a pound sign (#).
Refer to Creating a Transcript File for more information about creating, locating, and saving a
transcript file.
Stand-alone tools pick up project settings in command-line mode if you invoke them in the
project's root directory. If invoked outside the project directory, stand-alone tools pick up
project settings only if you set the MODELSIM environment variable to the path to the project
file (<Project_Root_Dir>/<Project_Name>.mpf).
Batch Mode
Batch mode is an operational mode that provides neither an interactive command line nor
interactive windows. In a Windows environment, you run vsim from a Windows command
prompt and standard input and output are redirected to and from files.
Here is an example of a batch mode simulation using redirection of std input and output:
where “yourfile” represents a script containing various ModelSim commands, and the angle
brackets (< >) are redirection indicators.
You can use the CTRL-C keyboard interrupt to terminate batch simulation in UNIX and
Windows environments.
Definition of an Object
Because ModelSim supports a variety of design languages (Verilog, VHDL, SystemVerilog),
the word “object” is used to refer to any valid design element in those languages, whenever a
specific language reference is not needed. Table 1-3 summarizes the language constructs that an
object can refer to.
Table 1-3. Possible Definitions of an Object, by Language
Design Language An object can be
VHDL block statement, component instantiation, constant,
generate statement, generic, package, signal, alias,
variable
Verilog function, module instantiation, named fork, named
begin, net, task, register, variable
SystemVerilog In addition to those listed above for Verilog:
class, package, program, interface, array, directive,
property, sequence
PSL property, sequence, directive, endpoint
Standards Supported
Standards documents are sometimes informally referred to as the Language Reference Manual
(LRM). This standards listed here are the complete name of each manual. Elsewhere in this
manual the individual standards are referenced using the IEEE Std number.
• VHDL —
Assumptions
Using the ModelSim product and its documentation is based on the following assumptions:
• You are familiar with how to use your operating system and its graphical interface.
• You have a working knowledge of the design languages. Although ModelSim is an
excellent application to use while learning HDL concepts and practices, this document is
not written to support that goal.
• You have worked through the appropriate lessons in the ModelSim Tutorial and are
familiar with the basic functionality of ModelSim. You can find the ModelSim Tutorial
by choosing Help from the main menu.
Text Conventions
Table 1-4 lists the text conventions used in this manual.
The following tables indicate the in which the item was superseded and a link to the new item
that replaces it, where applicable.
The ModelSim graphical user interface (GUI) provides access to numerous debugging tools and
windows that enable you to analyze different parts of your design. All windows initially display
within the ModelSim Main window.
You can copy the title text in a window or pane header by selecting it and right-clicking to
display a popup menu. This is useful for copying the file name of a source file for use elsewhere
(see Figure 2-58 for an example of this in an FSM Viewer window).
Here is a list of icon shapes and the design object types they indicate:
Star transaction; The color of the star for each transaction depends
on the language of the region in which the transaction stream
occurs: dark blue for VHDL, light blue for Verilog and
SystemVerilog, green for SystemC.
Setting Fonts
You may need to adjust font settings to accommodate the aspect ratios of wide screen and
double screen displays or to handle launching ModelSim from an X-session. Refer to Making
Global Font Changes for more information.
Font Scaling
To change font scaling, select the Transcript window, then Transcript > Adjust Font Scaling.
You will need a ruler to complete the instructions in the lower right corner of the dialog. When
you have entered the pixel and inches information, click OK to close the dialog. Then, restart
ModelSim to see the change. This is a one time setting; you should not need to set it again
unless you change display resolution or the hardware (monitor or video card). The font scaling
applies to Windows and UNIX operating systems. On UNIX systems, the font scaling is stored
based on the $DISPLAY environment variable.
Windows that support both Find (Figure 2-2) and Filter modes (Figure 2-3) allow you to toggle
between the two modes by doing any one of the following:
A “Find” toolbar will appear along the bottom edge of the active window when you do either of
the following:
The Find or Filter entry fields prefill as you type, based on the context of the current window
selection. The find or filter action begins as you type.
There is a simple history mechanism that saves find or filter strings for later use. The keyboard
shortcuts to use this feature are:
The graphic elements associated with the Find toolbar are shown in Table 2-4.
Note
The Find Toolbar graphic elements are context driven. The actions available change for
each window.
Wildcard Usage
There are three wildcard modes:
The Find Options menu displays the options available to you as well as hot keys for initiating
the actions without the menu.
User-Defined Radices
A user definable radix is used to map bit patterns to a set of enumeration labels. After defining a
new radix, the radix will be available for use in the List, Watch, and Wave windows or with the
examine command.
• radix define
• radix names
• radix list
• radix delete
{
<numeric-value> <enum-label>,
<numeric-value> <enum-label>
-default <radix>
}
Check the Verilog and VHDL LRMs for exact definitions of these numeric literals.
The comma (,) in the definition body is optional. The <enum-label> is any arbitrary string. It
should be quoted (""), especially if it contains spaces.
The -default entry is optional. If present, it defines the radix to use if a match is not found for a
given value. The -default entry can appear anywhere in the list, it does not have to be at the end.
Example 2-1 shows the radix define command used to create a radix called “States,” which
will display state values in the List, Watch, and Wave windows instead of numeric values.
11'b01000000000 "RD_WD_1",
11'b10000000000 "RD_WD_2",
-default hex
}
Figure 2-5 shows an FSM signal called /test-sm/sm_seq0/sm_0/state in the Wave window with
a binary radix and with the user-defined “States” radix (as defined in Example 2-1).
Figure 2-6 shows an FSM signal called /test-sm/sm_seq0/sm_0/state in the List window with a
binary radix and with the user-defined “States” radix (as defined in Example 2-1)
11'b00000010000 "WT_BLK_1",
11'b00000100000 "WT_BLK_2",
11'b00001000000 "WT_BLK_3",
11'b00010000000 "WT_BLK_4",
11'b00100000000 "WT_BLK_5",
11'b01000000000 "RD_WD_1" -color green,
11'b10000000000 "RD_WD_2" -color green,
-default hex
-defaultcolor white
}
If a pattern/label pair does not specify a color, the normal wave window colors will be used. If
the value of the waveform does not match any pattern, then -default <radix_type> and
-defaultcolor will be used.
To specify a range of values, wildcards may be specified for bits or characters of the value. The
wildcard character is '?', similar to the iteration character in a Verilog UDP, for example:
radix define {
6'b01??00 "Write" -color orange,
6'b10??00 "Read" -color green
}
In this example, the first pattern will match "010000", "010100", "011000", and "011100". In
case of overlaps, the first matching pattern is used, going from top to bottom.
In addition, a general purpose fixed point radix feature is available for displaying any vector,
regardless of type, in a fixed point format in the Wave window. You simply have to specify how
many bits to use as fraction bits from the whole vector.
1. Select (LMB) a signal or signals in the Pathnames pane of the Wave window.
2. Right-click the selected signal(s) and select Radix > Fixed Point from the popup menu.
This opens the Fixed Point Radix dialog.
3. Type the number of bits you want to appear as the fraction and click OK.
If the ShutdownFile [Link] variable is set to this .do filename, it will call the write
format restart command upon exit.
When you run a simulation and it comes to an end, the Active Time Label displays the Now
time - which is the end-of-simulation time. When you select a cursor in the Wave window, or in
the Wave viewer of the Schematic or Dataflow window, the Active Time Label automatically
changes to display the time of the current active cursor.
The Active Time label includes a minimize/maximize button that allows you to hide or display
the label.
When a signal or net is selected, you can jump to the previous or next transition of that signal,
with respect to the active time, by clicking the Find Previous/Next Transition buttons.
To change the display from showing the Active Time to showing the Now time, or vice versa,
do the following:
• Make the Source, Dataflow, Schematic, or FSM window the active window by clicking
on it.
• Open the dedicated menu for the selected window (i.e., if the Schematic window is
active, open the Schematic menu in the menu bar).
To enable the Active Time Label in the Dataflow window, select Dataflow > Dataflow
Preferences > Options and check Active Time label in the Show field of the Dataflow
Options dialog box.
Dataflow Window
Library Window
Objects Window
Source Window
Structure Window
Transcript Window
Wave Window
For more information about Window specific keyboard shortcuts as well as Global keyboard
shortcuts, refer to the Command and Keyboard Shortcuts Appendix.
Bookmarks
You can create bookmarks that allow you to return to a specific view or place in your design for
some of the windows. The bookmarks you make can be saved and automatically restored. Some
of the windows that allow bookmarking include the Structure, Files, Objects, Wave, and
Objects windows.
• Add Bookmarks
Bookmarks are added to an active window by selecting Bookmarks > Add
Bookmark or by clicking the Add Bookmark button. You will be prompted to
automatically save and restore your bookmarks when you set the first bookmark.
You can change the automatic save and restore settings in the Bookmark Options
Dialog Box.
• Add Custom
Selecting Add Custom opens the New Bookmark dialog box with the context
field(s) populated and a field for specifying an alias for the bookmark. Click and
hold the Add Bookmark button to access this feature from the Bookmarks toolbar.
Note
Aliases are mapped to the window in which a bookmark is set. You can use the same alias
for different bookmarks as long as each alias is assigned to a bookmark set in a different
window.
• Deleting Bookmarks
You can choose to delete the bookmarks from the currently active window or from
all windows.
• Manage Bookmarks
Opens the Manage Bookmarks dialog box. Refer to Managing Your Bookmarks
for more information.
• Load Bookmarks
Loads the bookmarks saved in the [Link] file. You can choose whether to
load bookmarks for the currently active window or all the bookmarks saved in the
[Link] file. Bookmarks are automatically loaded from the saved
[Link] file when you start a new simulation session.
Note
You must reload bookmarks for a window if you close then reopen that window during
the current session.
• Jump to Bookmark
Shows the available bookmarks in the currently active window followed by a drop
down list of bookmarks for each window. You can set the maximum number of
bookmarks listed in the Bookmark Options Dialog Box.
• Simple view mode changes the buttons from name and icon mode to icon only mode.
• Checking Active window only changes the display to show the bookmarks in the
currently active window. Selecting a different window in the tool changes the display to
the bookmarks set in that window.
• Selecting New opens the New Bookmark dialog box. The fields in the dialog
automatically load the settings of the view in the currently active window. You can
choose to name the bookmark with an alias to provide a more meaningful description.
Aliases are displayed in the Alias column in the Manage Bookmarks dialog box.
• Selecting Options opens the Bookmark Options dialog box (Figure 2-13).
Main Window
The primary access point in the ModelSim GUI is called the Main window. It provides
convenient access to design libraries and objects, source files, debugging commands, simulation
status messages, and so forth. When you load a design, or bring up debugging tools, ModelSim
opens windows appropriate for your debugging environment.
The Main window is the primary access point in the GUI. Figure 2-14 shows an example of the
Main window during a simulation run.
The Main window contains a menu bar, toolbar frame, windows, tab groups, and a status bar,
which are described in the following sections.
Menu Bar
The menu bar provides access to many tasks available for your workflow. Figure 2-15 shows
the selection in the menu bar that changes based on whichever window is currently active.
The menu items that are available and how certain menu items behave depend on which
window is active. For example, if the Structure window is active and you choose Edit from the
menu bar, the Clear command is disabled. However, if you click in the Transcript window and
choose Edit, the Clear command is enabled. The active window is denoted by a blue title bar
Toolbar Frame
The toolbar frame contains several toolbars that provide quick access to various commands and
functions.
Toolbar
A toolbar is a collection of GUI elements in the toolbar frame and grouped by similarity of task.
There are many toolbars available within the GUI, refer to the section “Main Window Toolbar”
for more information about each toolbar. Figure 2-17 highlights the Compile toolbar in the
toolbar frame.
Window
ModelSim can display over 40 different windows you can use with your workflow. This manual
refers to all of these objects as windows, even though you can rearrange them such that they
appear as a single window with tabs identifying each window.
Figure 2-18 shows an example of a layout with five windows visible; the Structure, Objects,
Processes, Wave and Transcript windows.
Tab Group
You can group any number of windows into a single space called a tab group, allowing you to
show and hide windows by selecting their tabs. Figure 2-19 shows a tab group of the Library,
Files, Capacity and Structure windows, with the Structure (sim) window visible.
Pane
Some windows contain panes, which are separate areas of a window display containing distinct
information within that window. One way to tell if a window has panes is whether you receive
different popup menus (right-click menu) in different areas. Windows that have panes include
the Wave, Source, and List windows. Figure 2-20 shows the Wave window with its the three
panes.
2. Drag, without releasing the mouse button, the window or tab group to a different area of
the Main window
Wherever you move your mouse you will see a dark blue outline that previews where
the window will be placed.
If the preview outline is a rectangle centered within a window, it indicates that you will
convert the window or tab group into new tabs within the highlighted window.
3. Release the mouse button to complete the move.
2. Drag, without releasing the mouse button, the tab to a different area of the Main window
Wherever you move your mouse you will see a dark blue outline that previews where
the tab will be placed.
If the preview outline is a rectangle centered within a window, it indicates that you will
move the tab into the highlighted window.
3. Release the mouse button to complete the move.
File Menu
Table 2-7. File Menu — Item Description
Menu Item Description
New • Folder — create a new folder in the current directory
• Source — create a new VHDL, Verilog or other source file
• Project — create a new project
• Library — create a new library and mapping
•
Open Open a file of any type.
Load Load and run a macro file (.do or .tcl)
Close Close an opened file
Import • Library — import FPGA libraries
• EVCD — import an extended VCD file previously created
with the ModelSim Waveform Editor. This item is enabled
only when a Wave window is active
• Memory Data — initialize a memory by reloading a
previously saved memory file.
• Column Layout — apply a previously saved column layout
to the active window
•
Export • Waveform — export a created waveform
• Tabular list — writes List window data to a file in tabular
format
• Event list — writes List window data to a file as a series of
transitions that occurred during simulation
• TSSI list — writes List window data to a file in TSSI
format
• Image — saves an image of the active window
• Memory Data — saves data from the selected memory in
the Memory List window or an active Memory Data
window to a text file
• Column Layout — saves a column layout from the active
window
•
• HTML — opens up a dialog where you can specify the
name of an HMTL file and the directory where it is saved
Save These menu items change based on the active window.
Save as
Report Produce a textual report based on the active window
Change Directory Opens a browser for you to change your current directory. Not
available during a simulation, or if you have a dataset open.
Edit Menu
Table 2-8. Edit Menu — Item Description
Menu Item Description
Undo Alter your previous edit in a Source window.
Redo
Cut Use or remove selected text.
Copy
Paste
Delete Remove an object from the Wave and List windows
Clear Clear the Transcript window
Select All Change the selection of items in a window
Unselect All
Expand Expand or collapse hierarchy information
Goto Goto a specific line number in the Source window
Find Open the find toolbar. Refer to the section “Using the Find and
Filter Functions” for more information
Replace Find and replace text in a Source window.
Signal Search Search the Wave or List windows for a specified value, or the
next transition for the selected object
Find in Files search for text in saved files
Previous Coverage Miss Find the previous or next line with missed coverage in the
Next Coverage Miss active Source window
View Menu
Table 2-9. View Menu — Item Description
Menu Item Description
window name Displays the selected window
New Window Open additional instances of the Wave, List, or Dataflow
windows
Sort Change the sort order of the Wave window
Filter Filters information from the Objects and Structure windows.
Justify Change the alignment of data in the selected window.
Properties Displays file property information from the Files or Source
windows.
Compile Menu
Table 2-10. Compile Menu — Item Description
Menu Item Description
Compile Compile source files
Compile Options Set various compile options.
Compile All Compile all files in the open project. Disabled if you don’t
have a project open
Compile Selected Compile the files selected in the project tab. Disabled if you
don’t have a project open
Compile Order Set the compile order of the files in the open project. Disabled
if you don’t have a project open
Compile Report report on the compilation history of the selected file(s) in the
project. Disabled if you don’t have a project open
Compile Summary report on the compilation history of all files in the project.
Disabled if you don’t have a project open
Simulate menu
Table 2-11. Simulate Menu — Item Description
Menu item Description
Design Optimization Open the Design Optimization dialog to configure simulation
optimizations
Start Simulation Load the selected design unit
Runtime Options Set various simulation runtime options
Run • Run <default> — run simulation for one default run length;
change the run length with Simulate > Runtime Options,
or use the Run Length text box on the toolbar
• Run -All — run simulation until you stop it
• Continue — continue the simulation
• Run -Next — run to the next event time
• Step — single-step the simulator
• Step -Over — execute without single-stepping through a
subprogram call
• Restart — reload the design elements and reset the
simulation time to zero; only design elements that have
changed are reloaded; you specify whether to maintain
various objects (logged signals, breakpoints, etc.)
Break Stop the current simulation run
End Simulation Quit the current simulation run
Add Menu
Table 2-12. Add Menu — Item Description
Menu Item Description
To Wave Add information to the Wave window
To List Add information to the List window
To Log Add information to the Log file
To Dataflow Add information to the Dataflow window
Window Pane Add an additional pane to the Wave window. You can remove
this pane by selecting Wave > Delete Window Pane.
Tools Menu
Table 2-13. Tools Menu — Item Description
Menu Item Description
Breakpoints Manage breakpoints
Trace Perform signal trace actions.
Dataset Snapshot Enable periodic saving of simulation data to a .wlf file.
Tcl Execute or debug a Tcl macro.
Wildcard Filter Refer to the section “Using the WildcardFilter Preference
Variable” for more information
Edit Preferences Set GUI preference variables. Refer to the section “Simulator
GUI Preferences” for more information.
Layout Menu
Table 2-14. Layout Menu — Item Description
Menu Item Description
Reset Reset the GUI to the default appearance for the selected layout.
Save Layout As Save your reorganized view to a custom layout. Refer to the
section “Customizing the Simulator GUI Layout” for more
information.
Configure Configure the layout-specific behavior of the GUI. Refer to the
section “Configure Window Layouts Dialog Box” for more
information.
Delete Delete a customized layout. You can not delete any of the five
standard layouts.
Bookmarks Menu
Table 2-15. Bookmarks Menu — Item Description
Menu Item Description
Add Clicking this button bookmarks the current view of the Wave
window.
Add Custom Opens the New Bookmark dialog box.
Manage Opens the Manage Bookmarks dialog box.
Delete All • Active Window Only
• All Windows.
Reload from File • Active Window Only
• All Windows.
Window Menu
Table 2-16. Window Menu — Item Description
Menu Item Description
Cascade Arrange all undocked windows. These options do not impact
Tile Horizontally any docked windows.
Tile Vertically
Icon Children Minimize (Icon) or Maximize (Deicon) undocked windows.
Icon All These options do not impact any docked windows.
Deicon All
Show Toolbar Toggle the appearance of the Toolbar frame of the Main
window
Show Window Headers Toggle the appearance of the window headers. Note that you
will be unable to rearrange windows if you do not show the
window headers.
FocusFollowsMouse Mouse pointer makes window active when pointer hovers in
the window briefly. Refer to Navigating in the Main
Windowfor more information.
Toolbars Toggle the appearance of available toolbars. Similar behavior
to right-clicking in the toolbar frame.
window name Make the selected window active.
Windows Display the Windows dialog box, which allows you to activate,
close or undock the selected window(s).
Help Menu
Table 2-17. Help Menu — Item Description
Menu Item Description
About Display ModelSim application information.
Release Notes Display the current Release Notes in the ModelSim Notepad
editor. You can find past release notes in the
<install_dir>/docs/rlsnotes/ directory.
Welcome Window Display the Important Information splash screen. By default
this window is displayed on startup. You can disable the
automatic display by toggling the Don’t show this dialog
again radio button.
Command Completion Toggles the command completion dropdown box in the
transcript window.
When you start typing a command at the Transcript prompt, a
dropdown box appears which lists the available commands
matching what has been typed so far. You may use the Up and
Down arrow keys or the mouse to select the desired command.
When a unique command has been entered, the command
usage is presented in the drop down box.
Register File Types Associate files types (such as .v, .sv, .vhd, .do) with the
product. These associations are typically made upon install, but
this option allows you to update your system in case changes
have been made since installation.
ModelSim Open the HTML-based portal for all PDF and HTML
Documentation - documentation.
InfoHub
ModelSim Open the PDF-based portal for the most commonly used PDF
Documentation - PDF documents.
Bookcase
Tcl Help Open the Tcl command reference (man pages) in Windows
help format.
Tcl Syntax Open the Tcl syntax documentation in your web browser.
Tcl Man pages Open the Tcl/Tk manual in your web browser.
Technotes Open a technical note in the ModelSim Notepad editor.
• Bookmarks Toolbar
• Compile Toolbar
• Coverage Toolbar
• Dataflow Toolbar
• FSM Toolbar
• Help Toolbar
• Layout Toolbar
• Memory Toolbar
• Mode Toolbar
• Objectfilter Toolbar
• Process Toolbar
• Profile Toolbar
• Schematic Toolbar
• Simulate Toolbar
• Source Toolbar
• Standard Toolbar
• Step Toolbar
• Wave Compare Toolbar
• Wave Cursor Toolbar
• Wave Edit Toolbar
• Wave Expand Time Toolbar
• Wave Toolbar
• Zoom Toolbar
Bookmarks Toolbar
The Bookmark toolbar allows you to manage your bookmarks of the Wave window
Compile Toolbar
The Compile toolbar provides access to compile and simulation actions.
Compile All Command: vcom or vlog Compiles all files in the open
Menu: Compile > Compile project.
all
Simulate Command: vsim Opens the Start Simulation
Menu: Simulate > Start dialog box.
Simulation
Break Menu: Simulate > Break Stop a compilation,
Hotkey: Break elaboration, or the current
simulation run.
Coverage Toolbar
The Coverage toolbar provides tools for filtering code coverage data in the Structure and
Instance Coverage windows.
Dataflow Toolbar
The Dataflow toolbar provides access to various tools to use in the Dataflow window.
Trace Net to Menu: Tools > Trace > Step back to the last driver of
Driver of X TraceX an unknown value.
FSM Toolbar
The FSM toolbar provides access to tools that control the information displayed in the FSM
Viewer window.
Enable Info Menu: FSM View > Enable Displays information when
Mode Popups Info Mode Popups you mouse over each state or
transition
Previous None Steps to the previous state in
State the FSM Viewer window.
Help Toolbar
The Help toolbar provides a way for you to search the HTML documentation for a specified
string. The HTML documentation will be displayed in a web browser.
Layout Toolbar
The Layout toolbar allows you to select a predefined or user-defined layout of the graphical
user interface. Refer to the section “Customizing the Simulator GUI Layout” for more
information.
Memory Toolbar
The Memory toolbar provides access to common functions.
Mode Toolbar
The Mode toolbar provides access to tools for controlling the mode of mouse navigation.
Objectfilter Toolbar
The Objectfilter toolbar provides filtering of design objects appearing in the Objects window.
Process Toolbar
The Process toolbar contains three toggle buttons (only one can be active at any time) that
controls the view of the Process window.
Profile Toolbar
The Profile toolbar provides access to tools related to the profiling windows (Ranked, Calltree,
Design Unit, and Structural.
Schematic Toolbar
The Schematic toolbar provides access to tools for manipulating highlights and signals in the
Dataflow and Schematic windows.
Simulate Toolbar
The Simulate toolbar provides various tools for controlling your active simulation.
Source Toolbar
The Source toolbar allows you to perform several activities on Source windows.
Standard Toolbar
The Standard toolbar contains common buttons that apply to most windows.
Save Menu: File > Save Saves the contents of the active
window or
Saves the current wave
window display and signal
preferences to a macro file
(DO fie).
Reload Command: Dataset Restart Reload the current dataset.
Menu: File > Datasets
Print Menu: File > Print Opens the Print dialog box.
Add Selected Menu: Add > to Wave Clicking adds selected objects
to Window Hotkey: Ctrl+w to the Wave window. Refer to
“Add Selected to Window
Button” for more information
about the dropdown menu
selections. 1
• Set Default Action
Find Menu: Edit > Find Opens the Find dialog box.
Hotkey: Ctrl+f (Windows)
or Ctrl+s (UNIX)
Collapse All Menu: Edit > Expand >
Collapse All
1. You can set the default insertion location in the Wave window from menus and hotkeys with the
PrefWave(InsertMode) preference variable.
• Add to Wave (Anchor Location) — Adds selected signals tabove the Insertion Point Bar
in the Pathname Pane by default.
• Add to Wave (Append Point) — Adds selected signals below the insertion pointer in the
Pathname Pane.
• Add to Wave (End) — Adds selected signals after the last signal in the Wave Window.
• Add to Wave (Top) — Adds selected signals above the first signal in the Wave window.
• Add to List — Adds selected objects to the List Window.
• Add to Dataflow — Adds selected objects to the Dataflow Window.
• Add to Watch — Adds selected objects to the Watch Window.
• Set Default Action — Selecting one of the items from the dropdown menu sets that item
as the default action when you click the Add Selected to Window button. The title of
the selection is shown in bold type in the Add Selected to Window dropdown menu and
two asterisks ( **) are placed after the title to indicate the current default action. For
example, Add to Wave (Anchor Location) is the default action in Figure 2-41.
• You can change the default
Step Toolbar
The Step toolbar allows you to step through your source code.
Wave Toolbar
The Wave toolbar allows you to perform specific actions in the Wave window.
Find Menu: Edit > Signal Search Moves the active cursor to the
Previous Hotkey: Shift + Tab previous signal value change
Transition for the selected signal.
Find Next Menu: Edit > Signal Search Moves the active cursor to the
Transition Hotkey: Tab next signal value change for
the selected signal.
Find Menu: Edit > Signal Search Moves the active cursor to the
Previous previous falling edge for the
Falling Edge selected signal.
Find Next Menu: Edit > Signal Search Moves the active cursor to the
Falling Edge next falling edge for the
selected signal.
Find Menu: Edit > Signal Search Moves the active cursor to the
Previous previous rising edge for the
Rising Edge selected signal.
Find Next Menu: Edit > Signal Search Moves the active cursor to the
Rising Edge next rising edge for the
selected signal.
Zoom Toolbar
The Zoom toolbar allows you to change the view of the Wave window.
Column Descriptions
Accessing
• Menu item: View > Class Browser > Class Graph
• Command: view classgraph
• Left click-drag — allows you to move the contents around in the window.
• Middle Mouse scroll — zooms in and out.
• Middle mouse button strokes:
o Upper left — zoom full
o Upper right — zoom out. The length of the stroke changes the zoom factor.
Accessing
• Menu item: View > Class Browser > Class Instances
• Command: view classinstances
Once you have chosen the class type you want to observe, you can fix that instance in the
window while you debug by selecting File > Environment > Fix to Current Context.
Accessing
• Select View > Class Browser > Class Tree
• Use the command:
view classtree
Icons
Parameterized Class
Function
Task
Variable
Virtual Interface
Covergroup
Structure
Column Descriptions
Dataflow Window
Use this window to explore the "physical" connectivity of your design. You can also use it to
trace events that propagate through the design; and to identify the cause of unexpected outputs.
• processes
• signals, nets, and registers
The window has built-in mappings for all Verilog primitive gates (that is, AND, OR, PMOS,
NMOS, and so forth.). For components other than Verilog primitives, you can define a mapping
between processes and built-in symbols. See Symbol Mapping for details.
Note
This version of ModelSim has limited Dataflow functionality resulting in many of the
features described in this chapter operating differently. The window will show only one
process and its attached signals or one signal and its attached processes, as displayed in
Figure 2-53.
Accessing
Access the window using either of the following:
You can interact with the Dataflow in one of three different Mouse modes, which you can
change through the DataFlow menu or the Zoom Toolbar:
• Select Mode — your left mouse button is used for selecting objects and your middle
mouse button is used for zooming the window. This is the default mode.
• Zoom Mode — your left mouse button is used for zooming the window and your middle
mouse button is used for panning the window.
• Pan Mode — your left mouse button is used for panning the window and your middle
mouse button is used for zooming the window.
• Zoom Full — Fills the Dataflow window with all visible data.
o Mouse stroke — Up/Left. Middle mouse button in Select and Pan mode, Left mouse
button in Zoom mode.
o Menu — DataFlow > Zoom Full
o Zoom Toolbar — Zoom Full
• Zoom Out
o Mouse stroke — Up/Right. Middle mouse button in Select and Pan mode, Left
mouse button in Zoom mode.
o Menu — DataFlow > Zoom Out
o Zoom Toolbar — Zoom Out
o Mouse Scroll — Push forward on the scroll wheel.
• Zoom In
o Menu — DataFlow > Zoom In
o Zoom Toolbar — Zoom In
o Mouse Scroll — Pull back on the scroll wheel.
• Zoom Area — Fills the Dataflow window with the data within the bounding box.
o Mouse stroke — Down/Right
• Zoom Selected — Fills the Dataflow window so that all selected objects are visible.
o Mouse stroke — Down/Left
• Pan with the Mouse — In Zoom mode, pan with the middle mouse button. In Pan mode,
pan with the left mouse button. In Select mode, pan with the Ctrl key and the middle
mouse button.
• Pan with the Keyboard — Use the arrow keys to pan the view. Shift+<arrow key> pans
to the far edge of the view. Ctrl+<arrow key> pans by a moderate amount.
Files Window
Use this window to display the source files and their locations for the loaded simulation.
Prerequisites
You must have executed the vsim command before this window will contain any information
about your simulation environment.
Accessing
Access the window using either of the following:
Column Descriptions
Popup Menu
Right-click anywhere in the window to display the popup menu and select one of the following
options:
Files Menu
This menu becomes available in the Main menu when the Files window is active.
Table 2-50. Files Menu
Files Menu Item Description
View Source Opens the selected file in a Source window
Open in external editor Opens the selected file in an external editor.
Only available if you have set the Editor preference:
• set PrefMain(Editor) {<path_to_executable>}
• Tools > Edit Preferences; by Name tab, Main
group.
Save Files Saves a text file containing a sorted list of unique
files, one per line. The default name is [Link].
Prerequisites
This window is populated when you specify any of the following switches during compilation
(vcom/vlog).
• +cover or +cover=f
• +acc or +acc=f
Accessing
Access the window using either of the following:
Column Descriptions
Popup Menu
Right-click on one of the FSMs in the window to display the popup menu and select one of the
following options:
Table 2-52. FSM List Window Popup Menu
Popup Menu Item Description
View FSM Opens the FSM in the FSM Viewer window.
View Declaration Opens the source file for the FSM instance.
Set Context Changes the context to the FSM instance.
Add to <window> Adds FSM information to the specified window.
Properties Displays the FSM Properties dialog box containing
detailed information about the FSM.
Prerequisites
• Analyze FSMs and their coverage data — you must specify +cover, or explicitly
+cover=f, during compilation and -coverage on the vsim command line to fully analyze
FSMs with coverage data.
• Analyze FSMs without coverage data — you must specify +acc, or explicitly +acc=f,
during compilation (vcom/vlog) to analyze FSMs with the FSM Viewer window.
Accessing
Access the window:
• From the FSM List window, double-click on the FSM you want to analyze.
• From the Objects, Locals, Wave, or Code Coverage Analyze’s FSM Analysis windows,
click on the FSM button for the FSM you want to analyze.
• The mouse wheel performs zoom & center operations on the diagram.
o Mouse wheel up — zoom out.
o Mouse wheel down — zoom in.
Whether zooming in or out, the view will re-center towards the mouse location.
• Left mouse button — click and drag to move the view of the FSM.
• Middle mouse button — click and drag to perform the following stroke actions:
o Up and left — Zoom Full.
o Up and right — Zoom Out. The amount is determined by the distance dragged.
Figure 2-59 shows two versions of the same FSM. The top image shows all of the transitions
and the bottom image combines the common conditions (rst) into a single transition, as
referenced by the gray diamond placeholder.
You control the level of detail for transitions with the FSM View > Transitions to “reset”
menu items.
Red transition line. Indicates a transition that has zero (0) coverage.
Popup Menu
Right-click in the window to display the popup menu and select one of the following options:
Library Window
Use this window to view design libraries and compiled design units.
Accessing
Access the window using either of the following:
Column Descriptions
Popup Menu
Right-click anywhere in the window to display the popup menu and select one of the following
options:
List Window
The List window displays simulation results in tabular format. Common List window tasks
include:
• Using gating expressions and trigger settings to focus in on particular signals or events.
See Configuring New Line Triggering.
• Debugging delta delay issues. See Delta Delays for more information.
The window is divided into two adjustable panes, which allows you to scroll horizontally
through the listing on the right, while keeping time and delta visible on the left.
Use this window to display a textual representation of waveforms, which you can configure to
show events and delta events for the signals or objects you have added to the window.
You can view the following object types in the List window:
Accessing
Access the window using either of the following:
• right-clicking on signals and objects in the Objects window or the Structure window and
selecting Add > to List.
• using the add list command.
• using the “Add Selected to Window Button“.
When the List window displays event times, the event time is relative to events on other signals
also displayed in the List window. This may be misleading, as it may not correspond to event
times displayed in the Wave window for the same events if different signals are added to the
Wave and List windows.
The write list command (when used after the configure list -delta events command) writes a list
file in tabular format with a line for every event. Please note that this is different from the write
list -events command, which writes a non-tabular file using a print-on-change format.
The following examples illustrate the appearance of the List window and the corresponding text
file written with the write list command after various options for the configure list -delta
command are used.
Figure 2-64 shows the appearance of the List window after the configure list -delta none
command is used. It corresponds to the file resulting from the write list command. No column is
shown for deltas or events.
Figure 2-64. List Window After configure list -delta none Option is Used
Figure 2-65 shows the appearance of the List window after the configure list -delta collapse
command is used. It corresponds to the file resulting from the write list command. There is a
column for delta time and only the final delta value and the final value for each signal for each
simulation time step (at which any events have occurred) is shown.
Figure 2-65. List Window After configure list -delta collapse Option is Used
Figure 2-66 shows the appearance of the List window after the configure list -delta all option is
used. It corresponds to the file resulting from the write list command. There is a column for
delta time, and each delta time step value is shown on a separate line along with the final value
for each signal for that delta time step.
Figure 2-66. List Window After write list -delta all Option is Used
Figure 2-67 shows the appearance of the List window after the configure list -delta events
command is used. It corresponds to the file resulting from the write list command. There is a
column for event time, and each event time step value is shown on a separate line along with the
final value for each signal for that event time step. Since each event corresponds to a new event
time step, only one signal will change values between two consecutive lines.
Figure 2-67. List Window After write list -event Option is Used
One option of note is Search for Expression. The expression can involve more than one signal
but is limited to signals currently in the window. Expressions can include constants, variables,
and DO files. Refer to Expression Syntax for more information.
Any search terms or settings you enter are saved from one search to the next in the current
simulation. To clear the search settings during debugging click the Reset To Initial Settings
button. The search terms and settings are cleared when you close ModelSim.
1. Choose Edit > Signal Search... from the main menu. This displays the Wave Signal
Search dialog box.
2. Select Search for Expression.
3. Click the Builder button. This displays the Expression Builder dialog box shown in
Figure 2-69
You click the buttons in the Expression Builder dialog box to create a GUI expression. Each
button generates a corresponding element of Expression Syntax and is displayed in the
Expression field. In addition, you can use the Selected Signal button to create an expression
from signals you select from the List window.
For example, instead of typing in a signal name, you can select signals in a List window and
then click Selected Signal in the Expression Builder. This displays the Select Signal for
Expression dialog box shown in Figure 2-70.
Note that the buttons in this dialog box allow you to determine the display of signals you want
to put into an expression:
• List only Select Signals — list only those signals that are currently selected in the parent
window.
• List All Signals — list all signals currently available in the parent window.
Once you have selected the signals you want displayed in the Expression Builder, click OK.
• Put $foo in the Expression: entry box for the Search for Expression selection.
• Issue a searchlog command using foo:
searchlog -expr $foo 0
The default radix type is symbolic, which means that for an enumerated type, the window lists
the actual values of the enumerated type of that object. For the other radix types (binary, octal,
decimal, unsigned, hexadecimal, ASCII, time), the object value is converted to an appropriate
representation in that radix.
Changing the radix can make it easier to view information in the List window. Compare the
image below (with decimal values) with the image in the section List Window (with symbolic
values).
In addition to the List Signal Properties dialog box, you can also change the radix:
• Change the default radix for the current simulation using Simulate > Runtime Options
(Main window)
• Change the default radix for the current simulation using the radix command.
• Change the default radix permanently by editing the DefaultRadix variable in the
[Link] file.
Note
Window format files are design-specific. Use them only with the design you were
simulating when they were created.
In addition, you can use the write format restart command to create a single .do file that will
recreate all debug windows and breakpoints (see Saving and Restoring Breakpoints) when
invoked with the do command in subsequent simulation runs. The syntax is:
If the ShutdownFile [Link] variable is set to this .do filename, it will call the write format
restart command upon exit.
• Select two or more signals in the Wave or List window and then choose List > Combine
Signals from the menu bar. A virtual signal that is the result of a comparison simulation
is not supported for combining with any other signal.
• Use the virtual signal command at the Main window command prompt.
You can set new line triggering on a signal-by-signal basis or for the whole simulation. To set
for a single signal, select View > Signal Properties from the List window menu bar (when the
window is undocked) and select the Triggers line setting. Individual signal settings override
global settings.
To modify new line triggering for the whole simulation, select Tools > List Preferences from
the List window menu bar (when the window is undocked), or use the configure command.
When you select Tools > List Preferences, the Modify Display Properties dialog appears:
• Gating expressions affect the display of data but not acquisition of the data.
• The expression is evaluated when the List window would normally have displayed a
row of data (given the other trigger settings).
• The duration determines for how long triggering stays enabled after the gating
expression returns to false (0). The default of 0 duration will enable triggering only
while the expression is true (1). The duration is expressed in x number of default
timescale units.
• Gating is level-sensitive rather than edge-triggered.
1. Select Tools > Window Preferences from the List window menu bar (when the
window is undocked) and select the Triggers tab.
2. Click the Use Expression Builder button.
3. Select the signal in the List window that you want to be the enable signal by clicking on
its name in the header area of the List window.
4. Click Insert Selected Signal and then 'rising in the Expression Builder.
5. Click OK to close the Expression Builder.
You should see the name of the signal plus "rising" added to the Expression entry box of
the Modify Display Properties dialog box.
6. Click OK to close the dialog.
If you already have simulation data in the List window, the display should immediately switch
to showing only those cycles for which the gating signal is rising. If that isn't quite what you
want, you can go back to the expression builder and play with it until you get it the way you
want it.
If you want the enable signal to work like a "One-Shot" that would display all values for the
next, say 10 ns, after the rising edge of enable, then set the On Duration value to 10 ns.
When you run the simulation, List window entries for clk, a, b, and c appear only when clk
changes.
If you want to display on rising edges only, you have two options:
1. Turn off the List window triggering on the clock signal, and then define a repeating
strobe for the List window.
2. Define a "gating expression" for the List window that requires the clock to be in a
specified state. See above.
• File > Export > Event List — Exports the information in the List window to a file in
print-on-change format. Equivalent to the command:
write list -event <filename>
• File > Export > TSSI List — Exports the information in the List window to a file in
TSSI. Equivalent to the command:
write tssi -event <filename>
• Edit > Signal Search — Allows you to search the List window for activity on the
selected signal.
Window Panes
The List window is divided into two adjustable panes, which allow you to scroll horizontally
through the listing on the right, while keeping time and delta visible on the left.
• The left pane shows the time and any deltas that exist for a given time.
• The right pane contains the data for the signals and objects you have added for each time
shown in the left pane. The top portion of the window contains the names of the signals.
The bottom portion shows the signal values for the related time.
Note
The display of time values in the left column is limited to 10 characters. Any time value
of more than 10 characters is replaced with the following:
too narrow
Markers
The markers in the List window are analogous to cursors in the Wave window. You can add,
delete and move markers in the List window similarly to the Wave window. You will notice two
different types of markers:
• Active Marker — The most recently selected marker shows as a black highlight.
• Non-active Marker — Any markers you have added that are not active are shown with a
green border.
You can manipulate the markers in the following ways:
• Setting a marker — When you click in the right-hand portion of the List window, you
will highlight a given time (black horizontal highlight) and a given signal or object
(green vertical highlight).
• Moving the active marker — List window markers behave the same as Wave window
cursors. There is one active marker which is where you click along with inactive
markers generated by the Add Marker command. Markers move based on where you
click. The closest marker (either active or inactive) will become the active marker, and
the others remain inactive.
• Adding a marker — You can add an additional marker to the List window by right-
clicking at a location in the right-hand side and selecting Add Marker.
• Deleting a marker — You can delete a marker by right-clicking in the List window and
selecting Delete Marker. The marker closest to where you clicked is the marker that will
be deleted.
Popup Menu
Right-click in the right-hand pane to display the popup menu and select one of the following
options:
Table 2-61. List Window Popup Menu
Popup Menu Item Description
Examine Displays the value of the signal over which you used
the right mouse button, at the time selected with the
Active Marker
Add Marker Adds a marker at the location of the Active Marker
Delete Marker Deletes the closest marker to your mouse location
The following menu items are available when the List window is active:
Locals Window
Use this window to display data objects declared in the current, or local, scope of the active
process. These data objects are immediately visible from the statement that will be executed
next, which is denoted by a blue arrow in a Source window. The contents of the window change
from one statement to the next.
Accessing
Access the window using either of the following:
Column Descriptions
Popup Menu
Right-click anywhere in the Locals window to open a popup menu.
The Change Selected Variable dialog is prepopulated with the following information about the
object you had selected in the Locals window:
Single dimensional arrays of integers are interpreted as 2D memory arrays. In these cases, the
word width listed in the Memory window is equal to the integer size, and the depth is the size of
the array itself.
Memories with three or more dimensions display with a plus sign ’+’ next to their names in the
Memory window. Click the ’+’ to show the array indices under that level. When you finally
expand down to the 2D level, you can double-click on the index, and the data for the selected
2D slice of the memory will appear in a memory contents window.
Prerequisites
The simulator identifies certain kinds of arrays in various scopes as memories. Memory
identification depends on the array element kind as well as the overall array kind (that is,
associative array, unpacked array, and so forth.).
3. Any combination of unpacked, dynamic, and associative arrays is considered a memory, provided the leaf
level of the data structure is a string or an integral type.
Accessing
Access the window using either of the following:
log /top/dut/i0/mem
It you want to use wildcards, then you will need to remove memories from the WildcardFilter
list. To see what is currently in the WildcardFilter list, use the following command:
set WildcardFilter
If "Memories" is in the list, reissue the set WildcardFilter command with all items in the list
except "Memories." For details, see Using the WildcardFilter Preference Variable.
Note
For post-process debug, you can add the memories into the Wave or List windows but the
Memory List window is not available.
Column Descriptions
Popup Menu
Right-click anywhere in the window to display the popup menu and select one of the following
options:
Accessing
Access the window by:
This allows you to view different address locations within the same memory instance
simultaneously.
Popup Menu
Right-click in the window to display the popup menu and select one of the following options:
Prerequisites
By default, the tool writes transcripted messages during elaboration and runtime only to the
transcript. To write messages to the WLF file (thus the Message Viewer window), use the
-displaymsgmode and -msgmode options with the vsim commmand to change the default
behavior. By writing messages to the WLF file, the Message Viewer window is able to organize
the messages for your analysis during the current simulation as well as during post simulation.
You can control what messages are available in the transcript, WLF file, or both with the
following switches:
You can also use the displaymsgmode variable in the [Link] file.
The message transcribing methods that are controlled by -displaymsgmode include:
o Verilog Display System Tasks — $write, $display, $monitor, and $strobe. The
following also apply if they are sent to STDOUT: $fwrite, $fdisplay, $fmonitor, and
$fstrobe.
o FLI Print Function Calls — mti_PrintFormatted and mti_PrintMessage.
o PLI Print Function Calls — io_printf and vpi_printf.
• msgmode messages — All elaboration and runtime messages not part of the
displaymsgmode messages. By default, these messages are written only to the transcript.
To change this default behavior you can use the -msgmode argument with the vsim
command. The syntax is:
vsim -msgmode {both | tran | wlf}
To write messages to the WLF file and transcript, which provides access to the messages
through the Message Viewer window, you can also use the msgmode variable in the
[Link] file.
Accessing
Access the window using either of the following:
Column Descriptions
Table 2-72. Message Viewer Window Columns
Column Description
Assertion Expression
Assertion Name
Assertion Start Time
Category Keyword for the various categories of messages:
• DISPLAY
• FLI
• PA
• PLI
• SDF
• TCHK
• VCD
• VITAL
• WLF
• MISC
• <user-defined>
Effective Time
File Info Filename related to the cause of the message, and in
some cases the line number in parentheses.
Id Message number
Messages Organized tree-structure of the sorted messages, as well
as, when expanded, the text of the messages.
Objects Object(s) related to the message, if any.
Process
Region Hierarchical region related to the message, if any.
Severity Message severity, such as Warning, Note or Error.
Time Time of simulation when the message was issued.
Timing Check Kind Information about timing checks
Verbosity Verbosity information from Verilog-XL Compatible
System Tasks and Functions system tasks.
Popup Menu
Right-click anywhere in the window to open a popup menu that contains the following
selections:
• Hierarchy Selection — This field allows you to control the appearance of message
hierarchy, if any.
o Display with Hierarchy — enables or disables a hierarchical view of messages.
o First by, Then by — specifies the organization order of the hierarchy, if enabled.
• Time Range — Allows you to filter which messages appear according to simulation
time. The default is to display messages for the complete simulation time.
• Displayed Objects — Allows you to filter which messages appear according to the
values in the Objects column. The default is to display all messages, regardless of the
values in the Objects column. The Objects in the list text entry box allows you to specify
filter strings, where each string must be on a new line.
• Add and Remove buttons — either add a rule filter row below the current row or remove
that rule filter row.
• Logic field — specifies a logical argument for combining adjacent rules. Your choices
are: AND, OR, NAND, and NOR. This field is greyed out for the first rule filter row.
• Open Parenthesis field — controls rule groupings by specifying, if necessary, any open
parentheses. The up and down arrows increase or decrease the number of parentheses in
the field.
• Column field — specifies that your filter value applies to a specific column of the
Message Viewer.
• Inclusion field — specifies whether the Column field should or should not contain a
given value.
o For text-based filter values your choices are: Contains, Doesn’t Contain, or Exact.
o For numeric- and time-based filter values your choices are: ==, !=, <, <=, >, and >=.
• Case Sensitivity field — specifies whether your filter rule should treat your filter value
as Case Sensitive or Case Insensitive. This field only applies to text-based filter values.
• Filter Value field — specifies the filter value associated with your filter rule.
• Time Unit field — specifies the time unit. Your choices are: fs, ps, ns, us, ms. This field
only applies to the Time selection from the Column field.
• Closed Parenthesis field — controls rule groupings by specifying, if necessary, any
closed parentheses. The up and down arrows increase or decrease the number of
parentheses in the field.
Figure 2-84 shows an example where you want to show all messages, either errors or warnings,
that reference the 15th line of the file cells.v.
When you select OK or Apply, the Message Viewer is updated to contain only those messages
that meet the criteria defined in the Message Viewer Filter dialog box.
Also, when selecting OK or Apply, the Transcript window will contain an echo of the messages
setfilter command, where the argument is a Tcl definition of the filter. You can then cut/paste
this command for reuse at another time.
Objects Window
Use this window to view the names and current values of declared data objects in the current
region, as selected in the Structure window. Data objects include:
• signals
• nets
• registers
• constants and variables not declared in a process
• generics
• parameters
Accessing
Access the window using either of the following:
Figure 2-86. Setting the Global Signal Radix from the Objects Window
Refer to the section “Using the Find and Filter Functions” for more information.
Popup Menu
Right-click anywhere in the window to display the popup menu and select one of the following
options:
Processes Window
Use this window to view a list of HDL processes in one of four viewing modes:
Accessing
Access the window using either of the following:
Filtering Processes
You can control which processes are visible in the Processes window as follows:
The default “No Implicit & Primitive” selection causes the Process window to display all
process types except implicit and primitive types. When you filter the display according to
specific process types, the heading of the Type column becomes “Type (filtered)”.
Once you select the options, data will update as the simulation runs and processes change their
states. When the In Region view mode is selected, data will update according to the region
selected in the Structure window.
In the post-processing mode, the default selection values will be same as the default values in
the live simulation mode.
• There are no active processes, so the Active view mode selection will not show
anything.
• All processes will have same ‘Done’ state in the post-processing mode.
• There is no order information, so the Order column will show ‘-‘ for all processes.
When you set a process as the next active process, you will see “(Next Active)” in the Order
column of that process (Figure 2-89).
Column Descriptions
• Wait — Indicates the process is waiting for a wake up trigger (change in VHDL signal,
Verilog net, SystemC signal, or a time period).
• Ready — Indicates the process is scheduled to be executed in current simulation phase
(or in active simulation queue) of current delta cycle.
• Active – Indicates the process is currently active and being executed.
• Queued — Indicates the process is scheduled to be executed in current delta cycle, but
not in current simulation phase (or in active simulation queue).
• Done — Indicates the process has been terminated, and will never restart during current
simulation run.
Processes in the Idle and Wait states are distinguished as follows. Idle processes (except for
ScMethods) have never been executed before in the simulation, and therefore have never been
suspended. Idle processes will become Active, Ready, or Queued when a trigger occurs. A
process in the Wait state has been executed before but has been suspended, and is now waiting
for a trigger.
SystemC methods can have one of the four states: Active, Ready, Idle or Queued. When
ScMethods are not being executed (Active), or scheduled (Ready or Queued), they are inactive
(Idle). ScMethods execute in 0 time, whenever they get triggered. They are never suspended or
terminated.
• Always
• Assign
• Final
• Fork-Join (dynamic process like fork-join, sc_spawn, and so forth.)
• Initial
• Implicit (internal processes created by simulator like Implicit wires, and so forth.)
• Primitive (UDP, Gates, and so forth.)
• ScMethod
• ScThread (SC Thread and SC CThread processes)
• VHDL Process
Source Window
The Source window allows you to view and edit source files as well as set breakpoints, step
through design files, and view code coverage statistics.
By default, the Source window displays your source code with line numbers. You may also see
the following graphic elements:
• Red line numbers — denote executable lines, where you can set a breakpoint
• Blue arrow — denotes the currently active line or a process that you have selected in the
Processes Window
• Red ball in line number column — denotes file-line breakpoints; gray ball denotes
breakpoints that are currently disabled
• Blue flag in line number column — denotes line bookmarks
• Language Templates pane — displays templates for writing code in VHDL, Verilog,
SystemC, Verilog 95, and SystemVerilog (Figure 2-91). See Language Templates.
• Underlined text — denotes a hypertext link that jumps to a linked location, either in the
same file or to another Source window file. Display is toggled on and off by the Source
Navigation button.
• Active Time Label — Displays the current Active Time or the Now (end of simulation)
time. This is the time used to control state values annotated in the window. (For details,
see Active Time Label.)
From the command line you can use the edit command.
By default, files you open from within the design (such as when you double-click an object in
the Objects window) open in Read Only mode. To make the file editable, right-click in the
Source window and select (uncheck) Read Only. To change this default behavior, set the
PrefSource(ReadOnly) variable to 0. See Simulator GUI Preferences for details on setting
preference variables.
The title bar of the Source window displays your current context, parenthetically, after the file
name and location. This changes as you alter your context, either through the pop-up menu or
by changing your selection in the Structure window.
This functionality allows you to easily navigate your design for debugging purposes by
remembering where you have been, similar to the functionality in most web browsers. The
navigation options in the pop-up menu function as follows:
• Open Instance — changes your context to the instance you have selected within the
source file. This is not available if you have not placed your cursor in, or highlighted the
name of, an instance within your source file.
If any ambiguities exists, most likely due to generate statements, this option opens a
dialog box allowing you to choose from all available instances.
• Ascend Env — changes your context to the file and line number in the parent region
where the current region is instantiated. This is not available if you are at the top-level of
your design.
• Forward/Back — allows you to change to previously selected contexts. This is not
available if you have not changed your context.
The Open Instance option is essentially executing an environment command to change your
context, therefore any time you use this command manually at the command prompt, that
information is also saved for use with the Forward/Back options.
Note
Clear Highlights does not affect text that you have selected with the mouse cursor.
Example
To produce a compile error that displays highlighted text in the Source window, do the
following:
1. Click anywhere in the Source window. This enables the display of the Simulate toolbar
(see Table 2-31).
2. Click the Source Navigation button.
When you double-click on hyperlinked text, the selection jumps from the usage of an object to
its declaration. This provides the following operations:
• Jump from the usage of a signal, parameter, macro, or a variable to its declaration.
• Jump from a module declaration to its instantiation, and vice versa.
• Navigate back and forth between visited source files.
Language Templates
ModelSim language templates help you write code. They are a collection of wizards, menus,
and dialogs that produce code for new designs, test benches, language constructs, logic blocks,
and so forth.
Note
The language templates are not intended to replace thorough knowledge of coding. They
are intended as an interactive reference for creating small sections of code. If you are
unfamiliar with a particular language, you should attend a training class or consult one of
the many available books.
To use the templates, either open an existing file, or select File > New > Source to create a new
file. Once the file is open, select Source > Show Language Templates if the Source window is
docked in the Main window; select View > Show Language Templates of the Source window
is undocked.
The template that appears depends on the type of file you create. For example Module and
Primitive templates are available for Verilog files, and Entity and Architecture templates are
available for VHDL files.
VHDL, Verilog, and SystemVerilog language templates display the following options:
a. New Design Wizard — Opens the Create New Design Wizard dialog. (Figure 2-95)
The New Design Wizard will step you through the tasks necessary to add a VHDL
Design Unit, or Verilog Module to your code.
b. Create Testbench — Opens the Create Testbench Wizard dialog.
The Create Testbench Wizard allows you to create a testbench for a previously
compiled design unit in your library. It generates code that instantiates your design
unit and wires it up inside a top-level design unit. You can add stimulus to your
testbench at a later time.
c. Language Constructs — Menu driven code templates you can use in your design.
Includes Modules, Primitives, Declarations, Statements and so on.
d. Stimulus Generators — Provides three interactive wizards:
• Create Clock Wizard
Steps you through the tasks necessary to add a clock generator to your code. It
allows you to control a number of clock generation variables.
• Create Count Wizard
Helps you make a counter. You can specify various parameters for the counter.
For example, rising/falling edge triggered, reset active high or low, and so on.
• Create Simulation Stop Wizard
The simulation time at which you wish to end your simulation run. This adds
code that will stop the simulator at a specified time.
The breakpoint markers are toggles. Click once to create the breakpoint; click again to disable
or enable the breakpoint.
To delete the breakpoint completely, right click the red breakpoint marker, and select Remove
Breakpoint. Other options on the context menu include:
For example:
bp [Link] 147
The Modify Breakpoints dialog box provides a list of all breakpoints in the design. To modify a
breakpoint, do the following:
• Instance Name — The full pathname to an instance that sets a SystemC breakpoint
so it applies only to that specified instance.
• Breakpoint Condition — One or more conditions that determine whether the
breakpoint is observed. If the condition is true, the simulation stops at the
breakpoint. If false, the simulation bypasses the breakpoint. A condition cannot refer
to a VHDL variable (only a signal). Refer to the tip below for more information on
proper syntax for breakpoints entered in the GUI.
• Breakpoint Command — A string, enclosed in braces ({}) that specifies one or more
commands to be executed at the breakpoint. Use a semicolon (;) to separate multiple
commands.
Tip: All fields in the File Breakpoint dialog box, except the Breakpoint Condition field,
use the same syntax and format as the -inst switch and the command string of the bp
command. Do not enclose the expression entered in the Breakpoint Condition field in
quotation marks (“ ”). For more information on these command options, refer to the bp
command in the Questa SV/AFV Reference Manual.
You can use the SystemVerilog keyword this when writing conditional breakpoints to refer to
properties, parameters or methods of an instance. The value of this changes every time the
expression is evaluated based on the properties of the current instance. Your context must be
within a local method of the same class when specifying the keyword this in the condition for a
breakpoint. Strings are not allowed.
The conditional breakpoint examples below refer to the following SystemVerilog source code
file [Link]:
1 class Simple;
2 integer cnt;
3 integer id;
4 Simple next;
5
6 function new(int x);
7 id=x;
8 cnt=0
9 next=null
10 endfunction
11
12 task up;
13 cnt=cnt+1;
14 if (next) begin
15 [Link];
16 end
17 endtask
18 endclass
19
20 module test;
21 reg clk;
22 Simple a;
23 Simple b;
24
25 initial
26 begin
27 a = new(7);
28 b = new(5);
29 end
30
31 always @(posedge clk)
32 begin
33 [Link];
34 [Link];
35 [Link]
36 end;
37 endmodule
Prerequisites
Compile and load your simulation.
in the Breakpoint Condition field of the Modify Breakpoint dialog box. (Refer to
Figure 2-98) Note that the file name and line number are automatically entered.
• Select an object, then right-click and select Examine or Describe from the context
menu.
• Pause the cursor over an object to see an examine pop-up
You can select Source > Examine Now or Source > Examine Current Cursor to choose at
what simulation time the object is examined or described.
You can also invoke the examine and/or describe commands on the command line or in a
macro.
As noted above in the discussion about finding text in the Source window, you can insert
bookmarks on any line containing the text for which you are searching. The other method for
inserting bookmarks is to right-click a line number and select Add/Remove Bookmark. To
remove a bookmark, right-click the line number and select Add/Remove Bookmark again.
To remove all bookmarks from the Source window, select Source > Clear Bookmarks from
the menu bar when the Source window is active.
Select an item from the Category list and then edit the available properties on the right. Click
OK or Apply to accept the changes.
The changes will be active for the next Source window you open. The changes are saved
automatically when you quit ModelSim. See Setting Preference Variables from the GUI for
details.
Structure Window
Use this window to view the hierarchical structure of the active simulation.
The name of the structure window, as shown in the title bar or in the tab if grouped with other
windows, can vary:
• sim — This is the name shown for the Structure window for the active simulation.
• dataset_name — The Structure window takes the name of any dataset you load through
the File > Datasets menu item or the dataset open command.
The hierarchical view includes an entry for each object within the design. When you select an
object in a Structure window, it becomes the current region.
The contents of several windows automatically update based on which object you select,
including the Source window, Objects window, Processes window, and Locals window. All
mouse button operations clear the current selection and select the item under the cursor.
Accessing
Access the window using any of the following:
1. Double-click on an object — Opens the file in a new Source window, or activates the
file if it is already open.
2. Single-click on an object — Highlights the code if the file is already showing in an
active Source window.
Filter Functions section for details. As you type in the Find field, a popup window opens to
display a list of matches (Figure 2-104).
The structure window Find bar supports hierarchical searching to limit the regions of a search,
searching by Design Unit name, case sensitive search, and exact match. The forward slash (/)
character is used to separate the search words. A double slash (//) is used to specify a recursive
search from the double slash down the hierarchy. For example:
foo — search the entire design space for regions containing "foo" in it’s name.
/foo — search the top of the design hierarchy for regions containing "foo".
/foo/bar — search for regions containing "foo" at the top, and then regions containing
"bar".
/foo//bar — search for regions containing "bar" recursively below all top level regions
containing "foo".
To search for a name that contains the slash (/) character, escape the slash using a backslash (\).
For example: \/bar.
When you double-click any item in the match list that item is highlighted in the Structure
window and the popup is removed. The search can be canceled by clicking on the ‘x’ button or
by pressing the Esc key on your keyboard.
With 'Search While Typing' enabled (the default) each keypress that changes the pattern restarts
the search immediately.
Column Descriptions
The table below lists columns in the Structure window with a description of their contents
(Table 2-77).
Transcript Window
The Transcript window maintains a running history of commands that are invoked and
messages that occur as you work with ModelSim. When a simulation is running, the Transcript
displays a VSIM prompt, allowing you to enter command-line commands from within the
graphic interface.
You can scroll backward and forward through the current work history by using the vertical
scrollbar. You can also use arrow keys to recall previous commands, or copy and paste using
the mouse within the window (see Main and Source Window Mouse and Keyboard Shortcuts
for details).
If you would like to save an additional copy of the transcript with a different filename, click in
the Transcript window and then select File > Save As, or File > Save. The initial save must be
made with the Save As selection, which stores the filename in the Tcl variable
PrefMain(saveFile). Subsequent saves can be made with the Save selection. Since no
automatic saves are performed for this file, it is written only when you invoke a Save command.
The file is written to the specified directory and records the contents of the transcript at the time
of the save.
Refer to Creating a Transcript File for more information about creating, locating, and saving a
transcript file.
1. Select Tools > Edit Preferences from the Main window menus.
2. In the Preferences window select the By Name tab.
3. Expand the list of Preferences under "Main."
4. Select the colorizeTranscript preference and click the Change Value button.
5. Enter "1" in the Change Main Preference Value dialog and click OK (Figure 2-105).
You can toggle this feature on and off by selecting Help > Command Completion.
• Adjust Font Scaling — Displays the Adjust Scaling dialog box, which allows you to
adjust how fonts appear for your display environment. Directions are available in the
dialog box.
• Transcript File — Allows you to change the default name used when saving the
transcript file. The saved transcript file will contain all the text in the current transcript
file.
• Command History — Allows you to change the default name used when saving
command history information. This file is saved at the same time as the transcript file.
• Save File — Allows you to change the default name used when selecting File > Save
As.
• Saved Lines — Allows you to change how many lines of text are saved in the transcript
window. Setting this value to zero (0) saves all lines.
• Line Prefix — Allows you to change the character(s) that precedes the lines in the
transcript.
• Update Rate — Allows you to change the length of time (in ms) between transcript
refreshes.
• ModelSim Prompt — Allows you to change the string used for the command line
prompt.
• VSIM Prompt — Allows you to change the string used for the simulation prompt.
• Paused Prompt — Allows you to change the string used for when the simulation is
paused.
• Standard Toolbar
• Help Toolbar
Watch Window
The Watch window shows values for signals and variables at the current simulation time, allows
you to explore the hierarchy of object oriented designs. Unlike the Objects or Locals windows,
the Watch window allows you to view any signal or variable in the design regardless of the
current context. You can view the following objects:
Items displayed in red are values that have changed during the previous Run command. You can
change the radix of displayed values by selecting an item, right-clicking to open a popup
context menu, then selecting Properties.
Items are displayed in a scrollable, hierarchical list, such as in Figure 2-109 where extended
SystemVerilog classes hierarchically display their super members.
Two Ref handles that refer to the same object will point to the same Watch window box, even if
the name used to reach the object is different. This means circular references will be draw as
circular.
Selecting a line item in the window adds the item’s full name to the global selection. This
allows you to paste the full name in the Transcript (by simply clicking the middle mouse button)
or other external application that accepts text from the global selection.
In Figure 2-111, two different sets of objects have been grouped together.
Once you have saved the file, you can reload it by right-clicking and selecting Load Format.
Wave Window
The Wave window, like the List window, allows you to view the results of your simulation. In
the Wave window, however, you can see the results as waveforms and their values.
Refer to Adding Objects to the Wave Window for more information about adding objects to the
Wave window.
Pathname Pane
The pathname pane displays signal pathnames. Signals can be displayed with full pathnames, as
shown here, or with any number of path elements. You can increase the size of the pane by
clicking and dragging on the right border. The selected signal is highlighted.
The white bar along the left margin indicates the selected Wave window or pane of a split wave
window (see Splitting Wave Window Panes).
Values Pane
The values pane displays the values of the displayed signals. You can resize the values pane by
clicking on and dragging the right border. Some signals may be too wide (too many bits) for
their values to be fully displayed. Use the scroll bar at the bottom of the pane to see the entire
signal value. Small signal values will remain in view while scrolling.
The radix for each signal can be symbolic, binary, octal, decimal, unsigned, hexadecimal,
ASCII, or default. The default radix for all signals can be set by selecting Simulate > Runtime
Options.
Note
When the symbolic radix is chosen for SystemVerilog reg and integer types, the values
are treated as binary. When the symbolic radix is chosen for SystemVerilog bit and int
types, the values are considered to be decimal.
To change the radix for just the selected signal or signals, select Wave > Format > Radix >
Global Signal Radix from the menus, or right-click the selected signal(s) and select Radix >
Global Signal Radix from the popup menu. This opens the Global Signal Radix dialog
(Figure 2-114), where you may select a radix. This sets the radix for the selected signal(s) in the
Wave window and every other window where the signal appears.
Figure 2-114. Setting the Global Signal Radix from the Wave Window
The data in this pane is similar to that shown in the Objects Window, except that the values
change dynamically whenever a cursor in the waveform pane is moved.
Waveform Pane
Figure 2-116 shows waveform pane, which displays waveforms that correspond to the
displayed signal pathnames. It can also display as many as 20 user-defined cursors. Signal
values can be displayed in analog step, analog interpolated, analog backstep, literal, logic, and
event formats. You can set the format of each signal individually by right-clicking the signal in
the pathname or values panes and choosing Format from the popup menu. The default format is
Logic.
If you place your mouse pointer on a signal in the waveform pane, a popup menu displays with
information about the signal. You can toggle this popup on and off in the Wave Window
Properties dialog box.
Cursor Pane
Figure 2-118 shows the Cursor Pane, which displays cursor names, cursor values and the cursor
locations on the timeline. You can link cursors so that they move across the timeline together.
See Linking Cursors in the Waveform Analysis chapter.
On the left side of this pane is a group of icons called the Cursor and Timeline Toolbox (see
Figure 2-119). This toolbox gives you quick access to cursor and timeline features and
configurations. See Measuring Time with Cursors in the Wave Window for more information.
Insert cursor
The Toggle leaf names <-> full names icon allows you to switch from displaying full
pathnames (the default) in the Pathnames Pane to displaying leaf or short names. You can also
control the number of path elements in the Wave Window Preferences dialog. Refer to
Hiding/Showing Path Hierarchy.
The Edit grid and timeline properties icon opens the Wave Window Properties dialog to the
Grid & Timeline tab (Figure 2-120).
The Grid Configuration selections allow you to set grid offset, minimum grid spacing, and grid
period; or you can reset the grid configuration to default values.
The Timeline Configuration selections give you a user-definable time scale. You can display
simulation time on the timeline or a clock cycle count. The time value is scaled appropriately
for the selected unit.
By default, the timeline will display time delta between any two adjacent cursors. By clicking
the Show frequency in cursor delta box, you can display the cursor delta as a frequency
instead.
Messages Bar
The messages bar, located at the top of the Wave window, contains indicators pointing to the
times at which a message was output from the simulator. By default, the indicators are not
displayed. To turn on message indicators, use the -msgmode argument with the vsim command
or use the msgmode variable in the [Link] file.
• VHDL objects (indicated by a dark blue diamond) — signals, aliases, process variables,
and shared variables
• Verilog objects (indicated by a light blue diamond) — nets, registers, variables, and
named events
The GUI displays inout variables of a clocking block separately, where the output of the
inout variable is appended with “__o”, for example you would see following two
objects:
clock1.c1 /input portion of the inout c1
clock1.c1__o /output portion of the inout c1
At the bottom of the waveform pane you can see a time line, tick marks, and the time value of
each cursor’s position. As you click and drag to move a cursor, the time value at the cursor
location is updated at the bottom of the cursor.
You can resize the window panes by clicking on the bar between them and dragging the bar to a
new location.
Waveform and signal-name formatting are easily changed via the Format menu. You can reuse
any formatting changes you make by saving a Wave window format file (see Saving the
Window Format).
• Standard Toolbar
• Compile Toolbar
• Simulate Toolbar
• Step Toolbar
• Wave Cursor Toolbar
• Wave Edit Toolbar
• Wave Toolbar
• Wave Compare Toolbar
• Zoom Toolbar
• Wave Expand Time Toolbar
ModelSim’s encryption solution allows IP authors to deliver encrypted IP code for a wide range
of EDA tools and design flows. You can, for example, make module ports, parameters, and
specify blocks publicly visible while keeping the implementation private.
In addition, Questa supports the recommendations from the IEEE P1735 working group for
encryption interoperability between different encryption and decryption tools. The current
recommendations are denoted as “version 1” by P1735. They address use model, algorithm
choices, conventions, and minor corrections to the HDL standards to achieve useful
interoperability.
Symmetric and asymmetric keys can be combined in encryption envelopes to provide the safety
of asymmetric keys with the efficiency of symmetric keys (see Encryption and Encoding
Methods). Encryption envelopes can also be used by the IP author to produce encrypted source
files that can be safely decrypted by multiple authors. For these reasons, encryption envelopes
are the preferred method of protection.
1. The encryption envelope may contain the textual design data to be encrypted
(Example 3-1).
2. The encryption envelope may contain `include compiler directives that point to files
containing the textual design data to be encrypted (Example 3-2). See Using the `include
Compiler Directive (Verilog only).
assign err = 0;
initial
begin
$dump_all_vpi;
$dump_tree_vpi(test_dff4);
$dump_tree_vpi(test_dff4.d4);
$dump_tree_vpi("test_dff4");
$dump_tree_vpi("test_dff4.d4");
$dump_tree_vpi("test_dff4.d", "test_dff4.clk", "test_dff4.q");
$dump_tree_vpi("test_dff4.d4.d0", "test_dff4.d4.d3");
$dump_tree_vpi("test_dff4.d4.q", "test_dff4.[Link]");
end
endmodule
nand #5
g1(l1,preset,l4,l2),
g2(l2,l1,clear,clk),
g3(l3,l2,clk,l4),
g4(l4,l3,clear,d),
g5(q,preset,l2,qbar),
g6(qbar,q,clear,l3);
endmodule
`pragma protect end
In this example, the Verilog code to be encrypted follows the `pragma protect begin
expression and ends with the `pragma protect end expression. If the code had been written in
VHDL, the code to be protected would follow a `protect BEGIN PROTECTED expression
and would end with a `protect END PROTECTED expression.
`include diff.v
`include prim.v
`include top.v
endmodule
`endcelldefine
In Example 3-2, the entire contents of diff.v, prim.v, and top.v will be encrypted.
Protection Expressions
The encryption envelope contains a number of `pragma protect (Verilog/SystemVerilog) or
`protect (VHDL) expressions. The following protection expressions are expected when
creating an encryption envelope:
• data_method — defines the encryption algorithm that will be used to encrypt the
designated source text. ModelSim supports the following encryption algorithms: des-
cbc, 3des-cbc, aes128-cbc, aes256-cbc, blowfish-cbc, cast128-cbc, and rsa.
• key_keyowner — designates the owner of the encryption key.
Note
The combination of key_keyowner and key_keyname expressions uniquely identify a
key. The key_method is required with these two expressions to complete the definition of
the key.
Note
Encryption envelopes cannot be nested. A `pragma protect begin/end pair cannot bracket
another `pragma protect begin/end pair.
initial begin
a <= b;
b <= c;
end
and the file we want to encrypt, top.v, contains the following source code:
module top;
`pragma protect begin
`include "header.v"
`pragma protect end
endmodule
then, when we use the vlog +protect command to compile, the source code of the header file
will be encrypted. If we could decrypt the resulting work/[Link] file it would look like:
module top;
`pragma protect begin
initial begin
a <= b;
b <= c;
end
`pragma protect end
endmodule
When using the vencrypt compile utility (see Delivering IP Code with Undefined Macros), any
`include statements will be treated as text just like any other source code and will be encrypted
with the other Verilog/SystemVerilog source code. So, if we used the vencrypt utility on the
top.v file above, the resulting work/[Link] file would look like the following (if we could
decrypt it):
module top;
`protect
`include "header.v"
`endprotect
endmodule
When you use vlog +protect to generate encrypted files, the original source files must all be
complete Verilog or SystemVerilog modules or packages. Compiler errors will result if you
attempt to perform compilation of a set of parameter declarations within a module. (See also
Compiling with +protect.)
You can avoid such errors by creating a dummy module that includes the parameter
declarations. For example, if you have a file that contains your parameter declarations and a file
that uses those parameters, you can do the following:
module dummy;
`protect
`include "params.v" // contains various parameters
`include "tasks.v" // uses parameters defined in params.v
`endprotect
endmodule
Then, compile the dummy module with the +protect switch to generate an encrypted output file
with no compile errors.
After compilation, the work library will contain encrypted versions of params.v and tasks.v,
called [Link] and [Link]. You may then copy these encrypted files out of the work
directory to more convenient locations. These encrypted files can be included within your
design files; for example:
module main
'include "[Link]"
'include "[Link]"
...
To illustrate, suppose the author wants to modify the following VHDL sample file so the
encrypted model can be decrypted and simulated by both ModelSim and by a hypothetical
company named XYZ inc.
architecture a of ip1 is
...
end a;
`protect end
-- Both the entity "ip2" and its architecture "a" are completely protected
`protect data_method = "aes128-cbc"
`protect encoding = ( enctype = "base64" )
`protect key_keyowner = "Mentor Graphics Corporation"
`protect key_keyname = "MGC-VERIF-SIM-RSA-1"
`protect key_method = "rsa"
`protect KEY_BLOCK
`protect begin
library ieee;
use ieee.std_logic_1164.all;
entity ip2 is
...
end ip2;
architecture a of ip2 is
...
end a;
`protect end
The author does this by writing a key block for each decrypting tool. If XYZ publishes a public
key, the two key blocks in the IP source code might look like the following:
The encrypted code would look very much like the sample file, with the addition of another key
block:
ModelSim uses its key block to determine the encrypted session key and XYZ Incorporated
uses the second key block to determine the same key. Consequently, both implementations
could successfully decrypt the code.
Note
The IP owner is responsible for obtaining the appropriate key for the specific tool(s)
protected IP is intended for, and should validate the encrypted results with those tools to
insure his IP is protected and will function as intended in those tools.
When +protect is used with vcom or vlog, encryption envelope expressions are transformed into
decryption envelope expressions and decryption content expressions. Source text within
encryption envelopes is encrypted using the specified key and is recorded in the decryption
envelope within a data_block. The new encrypted file is created with the same name as the
original unencrypted file but with a ‘p’ added to the filename extension. For Verilog, the
filename extension for the encrypted file is .vp; for SystemVerilog it is .svp, and for VHDL it is
.vhdp. This encrypted file is placed in the current work library directory.
You can designate the name of the encrypted file using the +protect=<filename> argument
with vcom or vlog as follows:
Example 3-3 shows the resulting source code when the Verilog IP code used in Example 3-1 is
compiled with vlog +protect.
endmodule
In this example, the `pragma protect data_method expression designates the encryption
algorithm used to encrypt the Verilog IP code. The key for this encryption algorithm is also
encrypted – in this case, with the RSA public key. The key is recorded in the key_block of the
protected envelope. The encrypted IP code is recorded in the data_block of the envelope.
ModelSim allows more than one key_block to be included so that a single protected envelope
can be encrypted by ModelSim then decrypted by tools from different users.
• a Source window will not display the design units’ source code
• a Structure window will not display the internal structure
• the Objects window will not display internal signals
• the Processes window will not display internal processes
• IP authors may use the vencrypt utility to deliver Verilog and SystemVerilog code
containing undefined macros and `directives. The IP user can then define the macros and
`directives and use the code in a wide range of EDA tools and design flows. See
Delivering IP Code with Undefined Macros.
• IP authors may use `pragma protect directives to protect Verilog and SystemVerilog
code containing user-defined macros and `directives. The IP code can be delivered to IP
customers for use in a wide range of EDA tools and design flows. See Delivering IP
Code with User-Defined Macros.
1. The IP author creates code that contains undefined macros and `directives.
2. The IP author creates encryption envelopes (see Creating Encryption Envelopes) to
protect selected regions of code or entire files (see Protection Expressions).
3. The IP author uses ModelSim’s vencrypt utility to encrypt Verilog and SystemVerilog
code contained within encryption envelopes. Macros are not pre-processed before
encryption so macros and other `directives are unchanged.
The vencrypt utility produces a file with a .vp or a .svp extension to distinguish it from
non-encrypted Verilog and SystemVerilog files, respectively. The file extension may be
changed for use with simulators other than ModelSim. The original file extension is
preserved if the -d <dirname> argument is used with vencrypt, or if a `directive is used
in the file to be encrypted.
With the -h <filename> argument for vencrypt the IP author may specify a header file
that can be used to encrypt a large number of files that do not contain the `pragma
protect (or proprietary `protect information - see Proprietary Source Code Encryption
Tools) about how to encrypt the file. Instead, encryption information is provided in the
concatenates the information in the encrypt_head file into each verilog file listed. The
encrypt_head file may look like the following:
`pragma protect data_method = "aes128-cbc"
`pragma protect author = "IP Provider"
`pragma protect key_keyowner = "Mentor Graphics Corporation"
`pragma protect key_method = "rsa"
`pragma protect key_keyname = "MGC-VERIF-SIM-RSA-1"
`pragma protect encoding = (enctype = "base64")
`pragma protect begin
Notice, there is no `pragma protect end expression in the header file, just the header
block that starts the encryption. The `pragma protect end expression is implied by the
end of the file.
4. The IP author delivers encrypted IP with undefined macros and `directives.
5. The IP user defines macros and `directives.
6. The IP user compiles the design with vlog.
7. The IP user simulates the design with ModelSim or other simulation tools.
1. The IP author creates proprietary code that contains user-defined macros and `directives.
2. The IP author creates encryption envelopes with `pragma protect expressions to protect
regions of code or entire files. See Creating Encryption Envelopes and Protection
Expressions.
3. The IP author uses the +protect argument for the vlog command to encrypt IP code
contained within encryption envelopes. The `pragma protect expressions are ignored
unless the +protect argument is used during compile. (See Compiling with +protect.)
The vlog +protect command produces a .vp or a .svp extension for the encrypted file to
distinguish it from non-encrypted Verilog and SystemVerilog files, respectively. The
file extension may be changed for use with simulators other than ModelSim. The
original file extension is preserved if a `directive is used in the file to be encrypted. For
more information, see Compiling with +protect.
4. The IP author delivers the encrypted IP.
5. The IP user simulates the code like any other file.
When encrypting source text, any macros without parameters defined on the command line are
substituted (not expanded) into the encrypted file. This makes certain macros unavailable in the
encrypted source text.
ModelSim takes every simple macro that is defined with the compile command (vlog) and
substitutes it into the encrypted text. This prevents third party users of the encrypted blocks
from having access to or modifying these macros.
Note
Macros not specified with vlog via the +define+ option are unmodified in the encrypted
block.
For example, the code below is an example of an file that might be delivered by an IP provider.
The filename for this module is [Link].
`define FOO 0
$display("FOO is defined as: ", `FOO);
$display("reg IPPROTECT has the value: ", `IPPROTECT );
end
`else
initial begin
$display("ifdef defined as false");
end
`endif
endmodule
This creates an encrypted file called [Link]. We can then compile this file with a macro
override for the macro “FOO” as follows:
The macro FOO can be overridden by a customer while the macro IPPROTECT retains the
value specified at the time of encryption, and the macro IPPROTECT no longer exists in the
encrypted file.
• IP authors may use `protect directives to create an encryption envelope (see Creating
Encryption Envelopes) for the VHDL code to be protected and use ModelSim’s
vhencrypt utility to encrypt the code. The encrypted IP code can be delivered to IP
customers for use in a wide range of EDA tools and design flows. See Using the
vhencrypt Utility.
• IP authors may use `protect directives to create an encryption envelope (see Creating
Encryption Envelopes) for the VHDL code to be protected and use ModelSim’s default
encryption and decryption actions. The IP code can be delivered to IP customers for use
in a wide range of EDA tools and design flows. See Using ModelSim Default
Encryption for VHDL.
• IP authors may use `protect directives to create an encryption envelope for VHDL code
and select encryption methods and encoding other than ModelSim’s default methods.
See User-Selected Encryption for VHDL.
• IP authors may use “raw” encryption and encoding to aid debugging. See Using raw
Encryption for VHDL.
• IP authors may encrypt several parts of the source file, choose the encryption method for
encrypting the source (the data_method), and use a key automatically provided by
ModelSim. See Encrypting Several Parts of a VHDL Source File.
• IP authors can use the concept of multiple key blocks to produce code that is secure and
portable across different simulators. See Using Portable Encryption for Multiple Tools.
The usage models are illustrated by examples in the sections below.
Note
VHDL encryption requires that the KEY_BLOCK (the sequence of key_keyowner,
key_keyname, and key_method directives) end with a `protect KEY_BLOCK directive.
concatenates the information in the encrypt_head file into each VHDL file listed. The
encrypt_head file may look like the following:
`protect data_method = "aes128-cbc"
`protect author = "IP Provider"
`protect encoding = (enctype = "base64")
`protect key_keyowner = "Mentor Graphics Corporation"
`protect key_method = "rsa"
`protect key_keyname = "MGC-VERIF-SIM-RSA-1"
`protect KEY_BLOCK
`protect begin
Notice, there is no `protect end expression in the header file, just the header block that
starts the encryption. The `protect end expression is implied by the end of the file.
4. The IP author delivers encrypted IP.
5. The IP user compiles the design with vcom.
6. The IP user simulates the design with ModelSim or other simulation tools.
-- Both the entity "ip2" and its architecture "a" are completely protected
`protect begin
entity ip2 is
...
end ip2;
architecture a of ip2 is
...
end a;
`protect end
The IP author compiles this file with the vcom +protect command as follows:
The compiler produces an encrypted file, [Link] which looks like the following:
-- Both the entity "ip2" and its architecture "a" are completely protected
`protect BEGIN_PROTECTED
`protect version = 1
`protect encrypt_agent = "Model Technology", encrypt_agent_info = "DEV"
`protect key_keyowner = "Mentor Graphics Corporation"
`protect key_keyname = "MGC-VERIF-SIM-RSA-1"
`protect key_method = "rsa"
`protect encoding = ( enctype = "base64" )
`protect KEY_BLOCK
<encoded encrypted session key>
`protect data_method = "aes128-cbc"
`protect encoding = ( enctype = "base64" , bytes = 224 )
`protect DATA_BLOCK
<encoded encrypted IP>
`protect END_PROTECTED
When the IP author surrounds a text region using only `protect begin and `protect end,
ModelSim uses default values for both encryption and encoding. The first few lines following
the `protect BEGIN_PROTECTED region in file [Link] contain the key_keyowner,
key_keyname, key_method and KEY_BLOCK directives. The session key is generated into the
key block and that key block is encrypted using the “rsa” method. The data_method indicates
that the default data encryption method is aes128-cbc and the “enctype” value shows that the
default encoding is base64.
Alternatively, the IP author can compile file [Link] with the command:
Here, the author does not supply the name of the file to contain the protected source. Instead,
ModelSim creates a protected file, gives it the name of the original source file with a 'p' placed
at the end of the file extension, and puts the new file in the current work library directory. With
the command described above, ModelSim creates file work/[Link]. (See Compiling
with +protect.)
The IP user compiles the encrypted file work/[Link] the ordinary way. The +protect
switch is not needed and the IP user does not have to treat the .vhdp file in any special manner.
ModelSim automatically decrypts the file internally and keeps track of protected regions.
If the IP author compiles the file [Link] and does not use the +protect argument, then the
file is compiled, various `protect directives are checked for correct syntax, but no protected file
is created and no protection is supplied.
ModelSim’s default encryption methods provide an easy way for IP authors to encrypt VHDL
designs while hiding the architecture implementation from the user. It should be noted that the
results are only usable by ModelSim tools.
-- Both the entity "ip2" and its architecture "a" are completely protected
`protect data_method = "aes128-cbc"
`protect encoding = ( enctype = "base64" )
`protect key_keyowner = "Mentor Graphics Corporation"
`protect key_keyname = "MGC-VERIF-SIM-RSA-1"
`protect key_method = "rsa"
`protect KEY_BLOCK
`protect begin
library ieee;
use ieee.std_logic_1164.all;
entity ip2 is
...
end ip2;
architecture a of ip2 is
...
end a;
`protect end
The data_method directive indicates that the encryption algorithm “aes128-cbc” should be used
to encrypt the source code (data). The encoding directive selects the “base64” encoding method,
and the various key directives specify that the Mentor Graphic key named “MGC-VERIF-SIM-
RSA-1” and the “RSA” encryption method are to be used to produce a key block containing a
randomly generated session key to be used with the “aes128-cbc” method to encrypt the source
code. See Using the Mentor Graphics Public Encryption Key.
entity example3_ent is
port (
in1 : in bit;
out1 : out bit);
end example3_ent;
begin
end arch;
`protect end
If (after compiling the entity) the example3_arch.vhd file were compiled using the command:
begin
end arch;
`protect END_PROTECTED
Notice that the protected file is very similar to the original file. The differences are that `protect
begin is replaced by `protect BEGIN_PROTECTED, `protect end is replaced by `protect
END_PROTECTED, and some additional encryption information is supplied after the BEGIN
PROTECTED directive.
See Encryption and Encoding Methods for more information about raw encryption and
encoding.
entity ex4_ent is
end ex4_ent;
begin -- ex4_arch
end ex4_arch;
entity ex4_ent is
end ex4_ent;
begin -- ex4_arch
end ex4_arch;
The encrypted [Link] file shows that an IP author can encrypt both declarations and
statements. Also, note that the signal assignment
is not protected. This assignment compiles and simulates even though signal s2 is protected. In
general, executable VHDL statements and declarations simulate the same whether or not they
refer to protected objects.
• The `protect / `endprotect compiler directives allow you to encrypt regions within
Verilog and SystemVerilog files.
• The -nodebug argument for the vcom and vlog compile commands allows you to
encrypt entire VHDL, Verilog, or SystemVerilog source files.
IP authors and IP users may use the `protect compiler directive to define regions of Verilog and
SystemVerilog code to be protected. The code is then compiled with the vlog +protect
command and simulated with ModelSim. The vencrypt utility may be used if the code contains
undefined macros or `directives, but the code must then be compiled and simulated with
ModelSim.
Note
While ModelSim supports both `protect and `pragma protect encryption directives,
these two approaches to encryption are incompatible. Code encrypted by one type of
directive cannot be decrypted by another.
The usage flow for delivering IP with the Mentor Graphics proprietary `protect compiler
directive is as follows:
vlog -nodebug=ports+pli
except that it applies to selected regions of code rather than the whole file.
2. The IP author uses the vlog +protect command to encrypt IP code contained within
encryption envelopes. The `protect / `endprotect directives are ignored by default
unless the +protect argument is used with vlog.
Once compiled, the original source file is copied to a new file in the current work
directory. The vlog +protect command produces a .vp or a .svp extension to distinguish
it from other non-encrypted Verilog and SystemVerilog files, respectively. For example,
top.v becomes [Link] and [Link] becomes [Link]. This new file can be delivered
and used as a replacement for the original source file. (See Compiling with +protect.)
Note
The vencrypt utility may be used if the code also contains undefined macros or
`directives, but the code must then be compiled and simulated with ModelSim.
You can use vlog +protect=<filename> to create an encrypted output file, with the
designated filename, in the current directory (not in the work directory, as in the default
case where [=<filename>] is not specified). For example:
vlog test.v +protect=[Link]
If the filename is specified in this manner, all source files on the command line will be
concatenated together into a single output file. Any `include files will also be inserted
into the output file.
Caution
`protect and `endprotect directives cannot be nested.
If errors are detected in a protected region, the error message always reports the first line of the
protected block.
Note
The -nodebug argument encrypts entire files. The `protect compiler directive allows you
to encrypt regions within a file. Refer to Compiler Directives for details.
When you compile with -nodebug, all source text, identifiers, and line number information are
stripped from the resulting compiled object, so ModelSim cannot locate or display any
information of the model except for the external pins.
You can access the design units comprising your model via the library, and you may invoke
vsim directly on any of these design units to see the ports. To restrict even this access in the
lower levels of your design, you can use the following -nodebug options when you compile:
Table 3-1. Compile Options for the -nodebug Compiling
Command and Switch Result
vcom -nodebug=ports makes the ports of a VHDL design unit
invisible
vlog -nodebug=ports makes the ports of a Verilog design unit
invisible
vlog -nodebug=pli prevents the use of PLI functions to
interrogate the module for information
vlog -nodebug=ports+pli combines the functions of -nodebug=ports
and -nodebug=pli
Note
Do not use the =ports option on a design without hierarchy, or on the top level of a
hierarchical design. If you do, no ports will be visible for simulation. Rather, compile all
lower portions of the design with -nodebug=ports first, then compile the top level with
-nodebug alone.
Design units or modules compiled with -nodebug can only instantiate design units or modules
that are also compiled -nodebug.
Do not use -nodebug=ports for mixed language designs, especially for Verilog modules to be
instantiated inside VHDL.
Encryption Reference
This section includes reference details on:
• Symmetric encryption uses the same key for both encrypting and decrypting the code
region.
• Asymmetric encryption methods use two keys: a public key for encryption, and a private
key for decryption.
Symmetric Encryption
For symmetric encryption, security of the key is critical and information about the key must be
supplied to ModelSim. Under certain circumstances, ModelSim will generate a random key for
use with a symmetric encryption method or will use an internal key.
• des-cbc
• 3des-cbc
• aes128-cbc
• aes192-cbc
• aes256-cbc
• blowfish-cbc
• cast128-cbc
The default symmetric encryption method ModelSim uses for encrypting IP source code is
aes128-cbc.
Asymmetric Encryption
For asymmetric encryption, the public key is openly available and is published using some form
of key distribution system. The private key is secret and is used by the decrypting tool, such as
ModelSim. Asymmetric methods are more secure than symmetric methods, but take much
longer to encrypt and decrypt data.
rsa
This method is only supported for specifying key information, not for encrypting IP source code
(i.e., only for key methods, not for data methods).
For testing purposes, ModelSim also supports raw encryption, which doesn't change the
protected source code (the simulator still hides information about the protected region).
All encryption algorithms (except raw) produce byte streams that contain non-graphic
characters, so there needs to be an encoding mechanism to transform arbitrary byte streams into
portable sequences of graphic characters which can be used to put encrypted text into source
files. The encoding methods supported by ModelSim are:
• uuencode
• base64
• raw
Base 64 encoding, which is technically superior to uuencode, is the default encoding used by
ModelSim, and is the recommended encoding for all applications.
Raw encoding must only be used in conjunction with raw encryption for testing purposes.
1. The encrypting tool generates a random key for use with a symmetric method, called a
“session key.”
2. The IP protected source code is encrypted using this session key.
3. The encrypting tool communicates the session key to the decrypting tool —which could
be ModelSim or some other tool — by means of a KEY_BLOCK.
4. For each potential decrypting tool, information about that tool must be provided in the
encryption envelope. This information includes the owner of the key (key_keyowner),
the name of the key (key_keyname), the asymmetric method for encrypting/decrypting
the key (key_method), and sometimes the key itself (key_public_key).
5. The encrypting tool uses this information to encrypt and encode the session key into a
KEY_BLOCK. The occurrence of a KEY_BLOCK in the source code tells the
encrypting tool to generate an encryption envelope.
6. The decrypting tool reads each KEY_BLOCK until it finds one that specifies a key it
knows about. It then decrypts the associated KEY_BLOCK data to determine the
original session key and uses that session key to decrypt the IP source code.
Note
VHDL encryption requires that the KEY_BLOCK (the sequence of key_keyowner,
key_keyname, and key_method directives) end with a `protect KEY_BLOCK directive.
For VHDL:
`protect key_keyowner="Acme"
`protect key_keyname="AcmeKeyName"
`protect key_public_key
MIGfMA0GCSqGSIb3DQEBAQUAA4GNADCBiQKBgQCnJfQb+LLzTMX3NRARsv7A8+LV5SgMEJCvI
f9Tif2emi4z0qtp8E+nX7QFzocTlClC6Dcq2qIvEJcpqUgTTD+mJ6grJSJ+R4AxxCgvHYUwoT
80Xs0QgRqkrGYxW1RUnNBcJm4ZULexYz8972Oj6rQ99n5e1kDa/eBcszMJyOkcGQIDAQAB
This defines a new key named “AcmeKeyName” with a key owner of “Acme.” The data block
following key_public_key directive is an example of a base64 encoded version of a public key
that should be provided by a tool vendor.
MIGfMA0GCSqGSIb3DQEBAQUAA4GNADCBiQKBgQCnJfQb+LLzTMX3NRARsv7A8+LV5SgMEJCvI
f9Tif2emi4z0qtp8E+nX7QFzocTlClC6Dcq2qIvEJcpqUgTTD+mJ6grJSJ+R4AxxCgvHYUwoT
80Xs0QgRqkrGYxW1RUnNBcJm4ZULexYz8972Oj6rQ99n5e1kDa/eBcszMJyOkcGQIDAQAB
For Verilog and SystemVerilog applications, copy and paste the entire Mentor Graphics key
block, as follows, into your code:
The vencrypt utility will recognize the Mentor Graphics public key. If vencrypt is not used, you
must use the +protect switch with the vlog command during compile.
For VHDL applications, copy and paste the entire Mentor Graphics key block, as follows, into
your code:
The vhencrypt utility will recognize the Mentor Graphics public key. If vhencrypt is not used,
you must use the +protect switch with the vcom command during compile.
Example 3-4 illustrates the encryption envelope methodology for using this key in
Verilog/SystemVerilog. With this methodology you can collect the public keys from the various
companies whose tools process your IP, then create a template that can be included into the files
you want encrypted. During the encryption phase a new key is created for the encryption
algorithm each time the source is compiled. These keys are never seen by a human. They are
encrypted using the supplied RSA public keys.
module dff (q, d, clear, preset, clock); output q; input d, clear, preset, clock;
reg q;
else
deassign q;
`pragma protect end
always @(posedge clock)
q = d;
endmodule
`endcelldefine
Projects simplify the process of compiling and simulating a design and are a great tool for
getting started with ModelSim.
Note
Project metadata are updated and stored only for actions taken within the project itself.
For example, if you have a file in a project, and you compile that file from the command
line rather than using the project menu commands, the project will not update to reflect
any new compile settings.
• simplify interaction with ModelSim; you don’t need to understand the intricacies of
compiler switches and library mappings
• eliminate the need to remember a conceptual model of the design; the compile order is
maintained for you in the project. Compile order is maintained for HDL-only designs.
• remove the necessity to re-establish compiler switches and settings at each session; these
are stored in the project metadata as are mappings to source files
• allow users to share libraries without copying files to a local directory; you can establish
references to source files that are stored remotely or locally
• allow you to change individual parameters across multiple files; in previous versions
you could only set parameters one file at a time
• enable "what-if" analysis; you can copy a project, manipulate the settings, and rerun it to
observe the new results
• reload the initial settings from the project .mpf file every time the project is opened
As stated in the warning message, a backup of the original project is created before the
conversion occurs. The backup file is named <project name>.[Link] and is created in the
same directory in which the original project is located.
After selecting OK, you will see a blank Project window in the Main window (Figure 4-2)
The name of the current project is shown at the bottom left corner of the Main window.
• Create New File — Create a new VHDL, Verilog, Tcl, or text file using the Source
editor. See below for details.
• Add Existing File — Add an existing file. See below for details.
• Create Simulation — Create a Simulation Configuration that specifies source files and
simulator options. See Creating a Simulation Configuration for details.
• Create New Folder — Create an organization folder. See Organizing Projects with
Folders for details.
You can also create a new project file by selecting Project > Add to Project > New File (the
Project tab in the Workspace must be active) or right-clicking in the Project tab and selecting
Add to Project > New File. This will open the Create Project File dialog (Figure 4-4).
Specify a name, file type, and folder location for the new file.
When you select OK, the file is listed in the Project tab. Double-click the name of the new file
and a Source editor window will open, allowing you to create source code.
When you select OK, the file(s) is added to the Project tab.
Once compilation is finished, click the Library window, expand library work by clicking the
"+", and you will see the compiled design units.
1. Select Compile > Compile Order or select it from the context menu in the Project tab.
2. Drag the files into the correct order or use the up and down arrow buttons. Note that you
can select multiple files and drag them simultaneously.
Files can be displayed in the Project window in alphabetical or compile order (by clicking the
column headings). Keep in mind that the order you see in the Project tab is not necessarily the
order in which the files will be compiled.
Grouping Files
You can group two or more files in the Compile Order dialog so they are sent to the compiler at
the same time. For example, you might have one file with a bunch of Verilog define statements
and a second file that is a Verilog module. You would want to compile these two files together.
To ungroup files, select the group and click the Ungroup button.
• double-click the Name of an appropriate design object (such as a test bench module or
entity) in the Library window
• right-click the Name of an appropriate design object and select Simulate from the
popup menu
• select Simulate > Start Simulation from the menus to open the Start Simulation dialog
(Figure 4-10). Select a design unit in the Design tab. Set other options in the VHDL,
Verilog, Libraries, SDF, and Others tabs. Then click OK to start the simulation.
A new Structure window, named sim, appears that shows the structure of the active simulation
(Figure 4-11).
At this point you are ready to run the simulation and analyze your results. You often do this by
adding signals to the Wave window and running the simulation for a given period of time. See
the ModelSim Tutorial for examples.
Close a Project
Right-click in the Project window and select Close Project. This closes the Project window but
leaves the Library window open. Note that you cannot close a project while a simulation is in
progress.
• Type – The file type as determined by registered file types on Windows or the type you
specify when you add the file to the project.
• Order – The order in which the file will be compiled when you execute a Compile All
command.
• Modified – The date and time of the last modification to the file.
You can hide or show columns by right-clicking on a column title and selecting or deselecting
entries.
1. Select Project > Add to Project > Simulation Configuration from the main menu, or
right-click the Project tab and select Add to Project > Simulation Configuration from
the popup context menu in the Project window.
Adding a Folder
To add a folder to your project, select Project > Add to Project > Folder or right-click in the
Project window and select Add to Project > Folder (Figure 4-15).
Specify the Folder Name, the location for the folder, and click OK. The folder will be displayed
in the Project tab.
You use the folders when you add new objects to the project. For example, when you add a file,
you can select which folder to place it in.
If you want to move a file into a folder later on, you can do so using the Properties dialog for the
file. Simply right-click on the filename in the Project window and select Properties from the
context menu that appears. This will open the Project Compiler Settings Dialog (Figure 4-17).
Use the Place in Folder field to specify a folder.
On Windows platforms, you can also just drag-and-drop a file into a folder.
Note
Any changes you make to the compile properties outside of the project, whether from the
command line, the GUI, or the [Link] file, will not affect the properties of files
already in the project.
To customize specific files, select the file(s) in the Project window, right click on the file names,
and select Properties. The resulting Project Compiler Settings dialog (Figure 4-18) varies
depending on the number and type of files you have selected. If you select a single VHDL or
Verilog file, you will see the General tab, Coverage tab, and the VHDL or Verilog tab,
respectively. On the General tab, you will see file properties such as Type, Location, and Size.
If you select multiple files, the file properties on the General tab are not listed. Finally, if you
select both a VHDL file and a Verilog file, you will see all tabs but no file information on the
General tab.
• If two or more files have different settings for the same option, the checkbox in the
dialog will be "grayed out." If you change the option, you cannot change it back to a
"multi- state setting" without cancelling out of the dialog. Once you click OK,
ModelSim will set the option the same for all selected files.
• If you select a combination of VHDL and Verilog files, the options you set on the
VHDL and Verilog tabs apply only to those file types.
Project Settings
To modify project settings, right-click anywhere within the Project tab and select Project
Settings.
• a relative pathname
• full pathname
• pathname with an environment variable
Tip: A softname is a term for a pathname that uses location mapping with
MGC_LOCATION_MAP. The soft pathname looks like a pathname containing an
environment variable, it locates the source using the location map rather than the
environment.
To convert the pathname to a softname for projects using location mapping, follow these steps:
1. Right-click anywhere within the Project tab and select Project Settings
2. Enable the Convert pathnames to softnames within the Location map area of the
Project Settings dialog box (Figure 4-19).
Once enabled, all pathnames currently in the project and any that are added later are then
converted to softnames.
During conversion, if there is no softname in the mgc location map matching the entry, the
pathname is converted in to a full (hardened) pathname. A pathname is hardened by removing
the environment variable or the relative portion of the path. If this happens, any existing
pathnames that are either relative or use environment variables are also changed: either to
softnames if possible, or to hardened pathnames if not.
For more information on location mapping and pathnames, see Using Location Mapping.
You can also use the project command from the command line to perform common operations
on projects.
VHDL designs are associated with libraries, which are objects that contain compiled design
units. Verilog and SystemVerilog designs simulated within ModelSim are compiled into
libraries as well.
1. as a local working library that contains the compiled version of your design;
2. as a resource library.
The contents of your working library will change as you update your design and recompile. A
resource library is typically static and serves as a parts source for your design. You can create
your own resource libraries or they may be supplied by another design team or a third party (for
example, a silicon vendor).
Any number of libraries can be resource libraries during a compilation. You specify which
resource libraries will be used when the design is compiled, and there are rules to specify in
which order they are searched (refer to Specifying Resource Libraries).
A common example of using both a working library and a resource library is one in which your
gate-level design and test bench are compiled into the working library and the design references
gate-level models in a separate resource library.
Archives
By default, design libraries are stored in a directory structure with a sub-directory for each
design unit in the library. Alternatively, you can configure a design library to use archives. In
this case, each design unit is stored in its own archive file. To create an archive, use the -archive
argument to the vlib command.
Generally you would do this only in the rare case that you hit the reference count limit on I-
nodes due to the ".." entries in the lower-level directories (the maximum number of sub-
directories on UNIX and Linux is 65533). An example of an error message that is produced
when this limit is hit is:
Archives may also have limited value to customers seeking disk space savings.
Creating a Library
When you create a project (refer to Getting Started with Projects), ModelSim automatically
creates a working design library. If you don’t create a project, you need to create a working
design library before you run the compiler. This can be done from either the command line or
from the ModelSim graphic interface.
From the ModelSim prompt or a UNIX/DOS prompt, use this vlib command:
vlib <directory_pathname>
To create a new library with the graphic interface, select File > New > Library.
When you click OK, ModelSim creates the specified library directory and writes a specially-
formatted file named _info into that directory. The _info file must remain in the directory to
distinguish it as a ModelSim library.
The new map entry is written to the [Link] file in the [Library] section. Refer to
[Link] Variables for more information.
Note
Remember that a design library is a special kind of directory. The only way to create a
library is to use the ModelSim GUI or the vlib command. Do not try to create libraries
using UNIX, DOS, or Windows commands.
The Library window provides access to design units (configurations, modules, packages,
entities, and architectures) in a library. Various information about the design units is displayed
in columns to the right of the design unit name.
The Library window has a popup menu with various commands that you access by clicking
your right mouse button.
• Simulate — Loads the selected design unit(s) and opens Structure (sim) and Files
windows. Related command line command is vsim.
• Edit — Opens the selected design unit(s) in the Source window; or, if a library is
selected, opens the Edit Library Mapping dialog (refer to Library Mappings with the
GUI).
• Refresh — Rebuilds the library image of the selected library without using source code.
Related command line command is vcom or vlog with the -refresh argument.
• Recompile — Recompiles the selected design unit(s). Related command line command
is vcom or vlog.
• Update — Updates the display of available libraries and design units.
You can use the GUI, a command, or a project to assign a logical name to a design library.
You may invoke this command from either a UNIX/DOS prompt or from the command line
within ModelSim.
The vmap command adds the mapping to the library section of the [Link] file. You can
also modify [Link] manually by adding a mapping line. To do this, use a text editor and
add a line under the [Library] section heading using the syntax:
<logical_name> = <directory_pathname>
More than one logical name can be mapped to a single directory. For example, suppose the
[Link] file in the current working directory contains following lines:
[Library]
work = /usr/rick/design
my_asic = /usr/rick/design
This would allow you to use either the logical name work or my_asic in a library or use clause
to refer to the same design library.
ln -s <directory_pathname> <logical_name>
The vmap command can also be used to display the mapping of a logical library name to a
directory. To do this, enter the shortened form of the command:
vmap <logical_name>
Moving a Library
Individual design units in a design library cannot be moved. An entire design library can be
moved, however, by using standard operating system commands for moving a directory or an
archive.
[library]
asic_lib = /cae/asic_lib
work = my_work
others = /usr/modeltech/[Link]
You can specify only one "others" clause in the library section of a given [Link] file.
The “others” clause only instructs the tool to look in the specified [Link] file for a library.
It does not load any other part of the specified file.
If there are two libraries with the same name mapped to two different locations – one in the
current [Link] file and the other specified by the "others" clause – the mapping specified
in the current .ini file will take effect.
Resource libraries are specified differently for Verilog and VHDL. For Verilog you use either
the -L or -Lf argument to vlog. Refer to Library Usage for more information.
The LibrarySearchPath variable in the [Link] file (in the [vlog] section) can be used to
define a space-separated list of resource library paths and/or library path variables. This
behavior is identical with the -L argument for the vlog command.
Note that the library clause is not used to specify the working library into which the design unit
is placed after compilation. The vcom command adds compiled design units to the current
working library. By default, this is the library named work. To change the current working
library, you can use vcom -work and specify the name of the desired target library.
Predefined Libraries
Certain resource libraries are predefined in standard VHDL. The library named std contains the
packages standard, env, and textio, which should not be modified. The contents of these
packages and other aspects of the predefined language environment are documented in the IEEE
Standard VHDL Language Reference Manual, Std 1076. Refer also to, Using the TextIO
Package.
A VHDL use clause can be specified to select particular declarations in a library or package that
are to be visible within a design unit during compilation. A use clause references the compiled
version of the package—not the source.
By default, every VHDL design unit is assumed to contain the following declarations:
To specify that all declarations in a library or package can be referenced, add the suffix .all to
the library/package name. For example, the use clause above specifies that all declarations in
the package standard, in the design library named std, are to be visible to the VHDL design unit
immediately following the use clause. Other libraries or packages are not visible unless they are
explicitly specified using a library or use clause.
Another predefined library is work, the library where a design unit is stored after it is compiled
as described earlier. There is no limit to the number of libraries that can be referenced, but only
one library is modified during compilation.
Library tab context menu (refer to Managing Library Contents), or by using the -refresh
argument to vcom and vlog.
From the command line, you would use vcom with the -refresh argument to update VHDL
design units in a library, and vlog with the -refresh argument to update Verilog design units. By
default, the work library is updated. Use either vcom or vlog with the -work <library>
argument to update a different library. For example, if you have a library named mylib that
contains both VHDL and Verilog design units:
Note
You may specify a specific design unit name with the -refresh argument to vcom and
vlog in order to regenerate a library image for only that design, but you may not specify a
file name.
An important feature of -refresh is that it rebuilds the library image without using source code.
This means that models delivered as compiled libraries without source code can be rebuilt for a
specific release of ModelSim. In general, this works for moving forwards or backwards on a
release. Moving backwards on a release may not work if the models used compiler switches,
directives, language constructs, or features that do not exist in the older release.
Note
You don't need to regenerate the std, ieee, vital22b, and verilog libraries. Also, you
cannot use the -refresh option to update libraries that were built before the 4.6 release.
Note
The FPGA libraries you import must be pre-compiled. Most FPGA vendors supply pre-
compiled libraries configured for use with ModelSim.
This chapter covers the following topics related to using VHDL in a ModelSim design:
• Basic VHDL Usage — A brief outline of the steps for using VHDL in a ModelSim
design.
• Compilation and Simulation of VHDL — How to compile, optimize, and simulate a
VHDL design
• Using the TextIO Package — Using the TextIO package provided with ModelSim
• VITAL Usage and Compliance — Implementation of the VITAL (VHDL Initiative
Towards ASIC Libraries) specification for ASIC modeling
• VHDL Utilities Package (util) — Using the special built-in utilities package (Util
Package) provided with ModelSim
• Modeling Memory — The advantages of using VHDL variables or protected types
instead of signals for memory designs.
1. Compile your VHDL code into one or more libraries using the vcom command. Refer to
Compiling a VHDL Design—the vcom Command for more information.
2. Load your design with the vsim command. Refer to Simulating a VHDL Design.
3. Simulate the loaded design, then debug as needed.
vlib work
This creates a library named work. By default, compilation results are stored in the work library.
The work library is actually a subdirectory named work. This subdirectory contains a special
file named _info. Do not create a VHDL library as a directory by using a UNIX, Linux,
Windows, or DOS command—always use the vlib command.
See Design Libraries for additional information on working with VHDL libraries.
You can simulate a design written with the following versions of VHDL:
• 1076-1987
• 1076-1993
• 1076-2002
• 1076-2008
To do so you need to compile units from each VHDL version separately.
The vcom command compiles using 1076 -2002 rules by default; use the -87, -93, or -2008
arguments to vcom to compile units written with version 1076-1987, 1076 -1993, or 1076-2008
respectively. You can also change the default by modifying the VHDL93 variable in the
[Link] file (see [Link] Variables for more information).
Note
Only a limited number of VHDL 1076-2008 constructs are currently supported.
Dependency Checking
You must re-analyze dependent design units when you change the design units they depend on
in the library. The vcom command determines whether or not the compilation results have
changed.
For example, if you keep an entity and its architectures in the same source file and you modify
only an architecture and recompile the source file, the entity compilation results will remain
unchanged. This means you do not have to recompile design units that depend on the entity.
The vcom command preserves both uppercase and lowercase letters of all user-defined object
names in a VHDL source file.
Usage Notes
• You can make the vcom command convert uppercase letters to lowercase by either of
the following methods:
o Use the -lower argument with the vcom command.
o Set the PreserveCase variable to 0 in your [Link] file.
• The supplied precompiled packages in STD and IEEE have their case preserved. This
results in slightly different version numbers for these packages. As a result, you may
receive out-of-date reference messages when refreshing to the current release. To
resolve this, use vcom -force_refresh instead of vcom -refresh.
• Mixed language interactions
o Design unit names — Because VHDL and Verilog design units are mixed in the
same library, VHDL design units are treated as if they are lowercase. This is for
compatibility with previous releases. This also to provide consistent filenames in the
file system for make files and scripts.
o Verilog packages compiled with -mixedsvvh — not affected by VHDL uppercase
conversion.
o VHDL packages compiled with -mixedsvvh — not affected by VHDL uppercase
conversion; VHDL basic identifiers are still converted to lowercase for compatibility
with previous releases.
o FLI — Functions that return names of an object will not have the original case
unless the source is compiled using vcom -lower. Port and Generic names in the
mtiInterfaceListT structure are converted to lowercase to provide compatibility with
programs doing case sensitive comparisons (strcmp) on the generic and port names.
1. All VHDL names are case-insensitive, so ModelSim always stores them in the library in
lowercase to be consistent and compatible with older releases.
2. When looking for a design unit in a library, ModelSim ignores the VHDL case and looks
first for the name in lowercase. If present, ModelSim uses it.
3. If no lowercase version of the design unit name exists in the library, then ModelSim
checks the library, ignoring case.
a. If ONE match is found this way, ModelSim selects that design unit.
b. If NO matches or TWO or more matches are found, ModelSim does not select
anything.
The following examples demonstrate these rules. Here, the VHDL compiler needs to find a
design unit named Test. Because VHDL is case-insensitive, ModelSim looks for "test" because
previous releases always converted identifiers to lowercase.
Example 1
Consider the following library:
work
entity test
Module TEST
The VHDL entity test is selected because it is stored in the library in lowercase. The original
VHDL could have contained TEST, Test, or TeSt, but the library always has the entity as "test."
Example 2
Consider the following library:
work
Module Test
No design unit named "test" exists, but "Test" matches when case is ignored, so ModelSim
selects it.
Example 3
Consider the following library:
work
Module Test
Module TEST
No design unit named "test" exists, but both "Test" and "TEST" match when case is ignored, so
ModelSim does not select either one.
Range and index checks are performed by default when you compile your design. You can
disable range checks (potentially offering a performance advantage) using arguments to the
vcom command. Or, you can use the NoRangeCheck and NoIndexCheck variables in the
[vcom] section of the [Link] file to specify whether or not they are performed. Refer to
[Link] Variables for more information.
Generally, these checks are disabled only after the design is known to be error-free. If you run a
simulation with range checking disabled, any scalar values that are out of range are indicated by
showing the value in the following format: ?(N) where N is the current value. For example, the
range constraint for STD_ULOGIC is 'U' to '-'; if the value is reported as ?(25), the value is out
of range because the type STD_ULOGIC value internally is between 0 and 8 (inclusive). A
similar thing will arise for integer subtypes and floating point subtypes. This generally
indicates that there is an error in the design that is not being caught because range checking was
disabled.
Range checks in ModelSim are slightly more restrictive than those specified by the VHDL
Language Reference Manual (LRM). ModelSim requires any assignment to a signal to also be
in range whereas the LRM requires only that range checks be done whenever a signal is
updated. Most assignments to signals update the signal anyway, and the more restrictive
requirement allows ModelSim to generate better error messages.
Subprogram Inlining
ModelSim attempts to inline subprograms at compile time to improve simulation performance.
This happens automatically and should be largely transparent. However, you can disable
automatic inlining two ways:
mti_inhibit_inline Attribute
You can disable inlining for individual design units (a package, architecture, or entity) or
subprograms with the mti_inhibit_inline attribute. Follow these rules to use the attribute:
• Assign the value true to the attribute for the appropriate scope. For example, to inhibit
inlining for a particular function (for example, "foo"), add the following attribute
assignment:
attribute mti_inhibit_inline of foo : procedure is true;
To inhibit inlining for a particular package (for example, "pack"), add the following
attribute assignment:
attribute mti_inhibit_inline of pack : package is true;
Note
This section discusses simulation from the UNIX or Windows/DOS command line. You
can also use a project to simulate (see Getting Started with Projects) or the Start
Simulation dialog box (open with Simulate > Start Simulation menu selection).
This example begins simulation on a design unit with an entity named my_asic and an
architecture named structure:
Timing Specification
The vsim command can annotate a design using VITAL-compliant models with timing data
from an SDF file. You can specify delay by invoking vsim with the -sdfmin, -sdftyp, or -sdfmax
arguments. The following example uses an SDF file named [Link] in the current work directory,
and an invocation of vsim annotating maximum timing values for the design unit my_asic:
By default, the timing checks within VITAL models are enabled. You can disable them with the
+notimingchecks argument. For example:
If you specify vsim +notimingchecks, the generic TimingChecksOn is set to FALSE for all
VITAL models with the Vital_level0 or Vital_level1 attribute (refer to VITAL Usage and
Compliance). Setting this generic to FALSE disables the actual calls to the timing checks along
with anything else that is present in the model's timing check block. In addition, if these models
use the generic TimingChecksOn to control behavior beyond timing checks, this behavior will
not occur. This can cause designs to simulate differently and provide different results.
the default names of the blocks in the design hierarchy would be:
g1(1), g1(2), ...
This name appears in the GUI to identify the blocks. You should use this name with any
commands when referencing a block that is part of the simulation environment. The format of
the name is based on the VHDL Language Reference Manual P1076-2008 section 16.2.5
Predefined Attributes of Named Entities.
If the type of the generate parameter is an enumeration type, the value within the parenthesis
will be an enumeration literal of that type; such as: g1(red).
In releases prior to the 6.6 series, this default name was controlled by the GenerateFormat
[Link] file variable would have appeared as:
All previously-generated scripts using this old format should work by default. However, if not,
you can use the GenerateFormat and OldVhdlForGenNames [Link] variables to ensure
that the old and current names are mapped correctly.
If your code was written according to the 1987, 1993, or 2008 version, you may need to update
your code or instruct ModelSim to use rules for different version.
• Select the appropriate version from the compiler options menu in the GUI
• Invoke vcom using the argument -87, -93, -2002, or -2008.
• Set the VHDL93 variable in the [vcom] section of the [Link] file to one of the
following values:
- 0, 87, or 1987 for 1076-1987
- 1, 93, or 1993 for 1076-1993
- 2, 02, or 2002 for 1076-2002
- 3, 08, or 2008 for 1076-2008
The following is a list of language incompatibilities that may cause problems when compiling a
design.
Tip: Please refer to ModelSim Release Notes for the most current and comprehensive
description of differences between supported versions of the VHDL standard.
• VHDL-93 and VHDL-2002 — The only major problem between VHDL-93 and VHDL-
2002 is the addition of the keyword "PROTECTED". VHDL-93 programs which use
this as an identifier should choose a different name.
All other incompatibilities are between VHDL-87 and VHDL-93.
• VITAL and SDF — It is important to use the correct language version for VITAL.
VITAL2000 must be compiled with VHDL-93 or VHDL-2002. VITAL95 must be
compiled with VHDL-87. A typical error message that indicates the need to compile
under language version VHDL-87 is:
"VITALPathDelay DefaultDelay parameter must be locally static"
• Files — File syntax and usage changed between VHDL-87 and VHDL-93. In many
cases vcom issues a warning and continues:
"Using 1076-1987 syntax for file declaration."
In addition, when files are passed as parameters, the following warning message is
produced:
"Subprogram parameter name is declared using VHDL 1987 syntax."
This message often involves calls to endfile(<name>) where <name> is a file parameter.
• Files and packages — Each package header and body should be compiled with the same
language version. Common problems in this area involve files as parameters and the size
of type CHARACTER. For example, consider a package header and body with a
procedure that has a file parameter:
procedure proc1 ( out_file : out [Link]) ...
If you compile the package header with VHDL-87 and the body with VHDL-93 or
VHDL-2002, you will get an error message such as:
"** Error: mixed_package_b.vhd(4): Parameter kinds do not conform
between declarations in package header and body: 'out_file'."
• Direction of concatenation — To solve some technical problems, the rules for direction
and bounds of concatenation were changed from VHDL-87 to VHDL-93. You won't see
any difference in simple variable/signal assignments such as:
v1 := a & b;
But if you (1) have a function that takes an unconstrained array as a parameter, (2) pass
a concatenation expression as a formal argument to this parameter, and (3) the body of
the function makes assumptions about the direction or bounds of the parameter, then you
will get unexpected results. This may be a problem in environments that assume all
arrays have "downto" direction.
• xnor — "xnor" is a reserved word in VHDL-93. If you declare an xnor function in
VHDL-87 (without quotes) and compile it under VHDL-2002, you will get an error
message like the following:
** Error: [Link](3): near "xnor": expecting: STRING IDENTIFIER
by
"range nul downto 'ÿ' is null" -- range is nul downto y(umlaut)
• bit string literals — In VHDL-87 bit string literals are of type bit_vector. In VHDL-93
they can also be of type STRING or STD_LOGIC_VECTOR. This implies that some
expressions that are unambiguous in VHDL-87 now become ambiguous is VHDL-93. A
typical error message is:
** Error: bit_string_literal.vhd(5): Subprogram '=' is ambiguous.
Suitable definitions exist in packages 'std_logic_1164' and
'standard'.
• VHDL-2008 packages — ModelSim does not provide VHDL source for VHDL-2008
IEEE-defined standard packages because of copyright restrictions. You can obtain
VHDL source from [Link] for the
following packages:
IEEE.fixed_float_types
IEEE.fixed_generic_pkg
IEEE.fixed_pkg
IEEE.float_generic_pkg
IEEE.float_pkg
IEEE.MATH_REAL
IEEE.MATH_COMPLEX
IEEE.NUMERIC_BIT
IEEE.NUMERIC_BIT_UNSIGNED
IEEE.NUMERIC_STD
IEEE.NUMERIC_STD_UNSIGNED
IEEE.std_logic_1164
IEEE.std_logic_textio
Note
In Verilog, this representation of time units is referred to as precision or timescale.
Note that you need to take care in specifying a resolution value larger than a delay value in your
design—delay values in that design unit are rounded to the closest multiple of the resolution. In
the example above, a delay of 4 ps would be rounded down to 0 ps.
Default Binding
By default, ModelSim performs binding when you load the design with vsim. The advantage of
this default binding at load time is that it provides more flexibility for compile order. Namely,
VHDL entities don't necessarily have to be compiled before other entities/architectures that
instantiate them.
However, you can force ModelSim to perform default binding at compile time instead. This
may allow you to catch design errors (for example, entities with incorrect port lists) earlier in
the flow. Use one of these two methods to change when default binding occurs:
• If performing default binding at load time, search the libraries specified with the -Lf
argument to vsim.
• If a directly visible entity has the same name as the component, use it.
• If an entity would be directly visible in the absence of the component declaration, use it.
• If the component is declared in a package, search the library that contained the package
for an entity with the same name.
If none of these methods is successful, ModelSim then does the following:
Delta Delays
Event-based simulators such as ModelSim may process many events at a given simulation time.
Multiple signals may need updating, statements that are sensitive to these signals must be
executed, and any new events that result from these statements must then be queued and
executed as well. The steps taken to evaluate the design without advancing simulation time are
referred to as "delta times" or just "deltas."
The diagram below represents the process for VHDL designs. This process continues until the
end of simulation time.
Yes
Any events to No
process?
Yes
Execute concurrent
statements that are
sensitive to events
This mechanism in event-based simulators may cause unexpected results. Consider the
following code fragment:
In this example you have two synchronous processes, one triggered with clk and the other with
clk2. To your surprise, the signals change in the clk2 process on the same edge as they are set in
the clk process. As a result, the value of inp appears at s1 rather than s0.
During simulation an event on clk occurs (from the test bench). From this event ModelSim
performs the "clk2 <= clk" assignment and the process which is sensitive to clk. Before
advancing the simulation time, ModelSim finds that the process sensitive to clk2 can also be
run. Since there are no delays present, the effect is that the value of inp appears at s1 in the same
simulation cycle.
In order to get the expected results, you must do one of the following:
The best way to debug delta delay problems is observe your signals in the List window. There
you can see how values change at each delta time.
The iteration limit default value is 1000. If you receive an iteration limit warning, first increase
the iteration limit and try to continue simulation. You can set the iteration limit from the
Simulate > Runtime Options menu or by modifying the IterationLimit variable in the
[Link]. See [Link] Variables for more information on modifying the [Link]
file.
If the problem persists, look for zero-delay loops. Run the simulation and look at the source
code when the error occurs. Use the step button to step through the code and see which signals
or variables are continuously oscillating. Two common causes are a loop that has no exit, or a
series of gates with zero delay where the outputs are connected back to the inputs.
To access the routines in TextIO, include the following statement in your VHDL source code:
USE [Link];
USE [Link];
ENTITY simple_textio IS
END;
In newer versions of the 1076 spec, syntax for a file declaration is:
You can specify a full or relative path as the file_logical_name; for example (VHDL 1987):
Normally if a file is declared within an architecture, process, or package, the file is opened when
you start the simulator and is closed when you exit from it. If a file is declared in a subprogram,
the file is opened when the subprogram is called and closed when execution RETURNs from
the subprogram. Alternatively, the opening of files can be delayed until the first read or write by
setting the DelayFileOpen variable in the [Link] file. Also, the number of concurrently
open files can be controlled by the ConcurrentFileLimit variable. These variables help you
manage a large number of files during simulation. See [Link] Variables for more details.
STD_INPUT is a file_logical_name that refers to characters that are entered interactively from
the keyboard, and STD_OUTPUT refers to text that is displayed on the screen.
In ModelSim, reading from the STD_INPUT file allows you to enter text into the current buffer
from a prompt in the Transcript pane. The lines written to the STD_OUTPUT file appear in the
Transcript.
In the TextIO package, the WRITE procedure is overloaded for the types STRING and
BIT_VECTOR. These lines are reproduced here:
The error occurs because the argument "hello" could be interpreted as a string or a bit vector,
but the compiler is not allowed to determine the argument type until it knows which function is
being called.
This call is even more ambiguous, because the compiler could not determine, even if allowed to,
whether the argument "010101" should be interpreted as a string or a bit vector.
The WRITE_STRING procedure simply defines the value to be a STRING and calls the
WRITE procedure, but it serves as a shell around the WRITE procedure that solves the
overloading problem. For further details, refer to the WRITE_STRING procedure in the io_utils
package, which is located in the file
<install_dir>/modeltech/examples/vhdl/io_utils/io_utils.vhd.
To expand this functionality, ModelSim supplies hexadecimal routines in the package io_utils,
which is located in the file <install_dir>/modeltech/examples/gui/io_utils.vhd. To use these
routines, compile the io_utils package and then include the following use clauses in your VHDL
source code:
use [Link];
use work.io_utils.all;
Dangling Pointers
Dangling pointers are easily created when using the TextIO package, because WRITELINE de-
allocates the access type (pointer) that is passed to it. Following are examples of good and bad
VHDL coding styles:
Based on an ISAC-VASG recommendation the ENDLINE function has been removed from the
TextIO package. The following test may be substituted for this function:
(L = NULL) OR (L’LENGTH = 0)
As you can see, this function is commented out of the standard TextIO package. This is because
the ENDFILE function is implicitly declared, so it can be used with files of any type, not just
files of type TEXT.
Then include the identifier for this file ("myinput" in this example) in the READLINE or
WRITELINE procedure call.
Providing Stimulus
You can provide an input stimulus to a design by reading data vectors from a file and assigning
their values to signals. You can then verify the results of this input. A VHDL test bench has
been included with the ModelSim install files as an example. Check for this file:
<install_dir>/examples/gui/[Link]
The IEEE Std 1076.4-2000, IEEE Standard for VITAL ASIC Modeling Specification is available
from the Institute of Electrical and Electronics Engineers, Inc.
/<install_dir>/vhdl_src/vital22b
/vital95
/vital2000
LIBRARY vital1995;
USE vital1995.vital_primitives.all;
USE vital1995.vital_timing.all;
USE vital1995.vital_memory.all;
Note that if your design uses two libraries—one that depends on vital95 and one that depends
on vital2000—then you will have to change the references in the source code to vital2000.
Changing the library mapping will not work.
ModelSim VITAL built-ins are generally updated as new releases of the VITAL packages
become available.
VITAL Compliance
A simulator is VITAL-compliant if it implements the SDF mapping and if it correctly simulates
designs using the VITAL packages—as outlined in the VITAL Model Development
Specification. ModelSim is compliant with IEEE Std 1076.4-2002, IEEE Standard for VITAL
ASIC Modeling Specification. In addition, ModelSim accelerates the VITAL_Timing,
VITAL_Primitives, and VITAL_memory packages. The optimized procedures are functionally
equivalent to the IEEE Std 1076.4 VITAL ASIC Modeling Specification (VITAL 1995 and
2000).
Invoke vcom with the -novital argument if you do not want to use the built-in VITAL routines
(when debugging for instance). To exclude all VITAL functions, use -novital all:
To exclude selected VITAL functions, use one or more -novital <fname> arguments:
The -novital switch only affects calls to VITAL functions from the design units currently being
compiled. Pre-compiled design units referenced from the current design units will still call the
built-in functions unless they too are compiled with the -novital argument.
To include the utilities in this package, add the following lines similar to your VHDL code:
library modelsim_lib;
use modelsim_lib.[Link];
get_resolution
The get_resolution utility returns the current simulator resolution as a real number. For
example, a resolution of 1 femtosecond (1 fs) corresponds to 1e-15.
Syntax
resval := get_resolution;
Returns
Name Type Description
resval real The simulator resolution represented as a
real
Arguments
None
Related functions
• to_real()
• to_time()
Example
If the simulator resolution is set to 10ps, and you invoke the command:
resval := get_resolution;
init_signal_driver()
The init_signal_driver() utility drives the value of a VHDL signal or Verilog net onto an
existing VHDL signal or Verilog net. This allows you to drive signals or nets at any level of the
design hierarchy from within a VHDL architecture (such as a test bench).
init_signal_spy()
The init_signal_spy() utility mirrors the value of a VHDL signal or Verilog register/net onto an
existing VHDL signal or Verilog register. This allows you to reference signals, registers, or nets
at any level of hierarchy from within a VHDL architecture (such as a test bench).
signal_force()
The signal_force() utility forces the value specified onto an existing VHDL signal or Verilog
register or net. This allows you to force signals, registers, or nets at any level of the design
hierarchy from within a VHDL architecture (such as a test bench). A signal_force works the
same as the force command when you set the [Link] variable named ForceSigNextIter to
1. The variable ForceSigNextIter in the [Link] file can be set to honor the signal update
event in next iteration for all force types. Note that the signal_force utility cannot issue a
repeating force.
signal_release()
The signal_release() utility releases any force that was applied to an existing VHDL signal or
Verilog register or net. This allows you to release signals, registers, or nets at any level of the
design hierarchy from within a VHDL architecture (such as a test bench). A signal_release
works the same as the noforce command.
to_real()
The to_real() utility converts the physical type time value into a real value with respect to the
current value of simulator resolution. The precision of the converted value is determined by the
simulator resolution. For example, if you were converting 1900 fs to a real and the simulator
resolution was ps, then the real value would be rounded to 2.0 (that is, 2 ps).
Syntax
realval := to_real(timeval);
Returns
Name Type Description
realval real The time value represented as a real with
respect to the simulator resolution
Arguments
Name Type Description
timeval time The value of the physical type time
Related functions
• get_resolution
• to_time()
Example
If the simulator resolution is set to ps, and you enter the following function:
then the value returned to realval would be 12990.0. If you wanted the returned value to be in
units of nanoseconds (ns) instead, you would use the get_resolution function to recalculate the
value:
If you wanted the returned value to be in units of femtoseconds (fs), you would enter the
function this way:
to_time()
The to_time() utility converts a real value into a time value with respect to the current simulator
resolution. The precision of the converted value is determined by the simulator resolution. For
example, if you converted 5.9 to a time and the simulator resolution was 1 ps, then the time
value would be rounded to 6 ps.
Syntax
timeval := to_time(realval);
Returns
Name Type Description
timeval time The real value represented as a physical
type time with respect to the simulator
resolution
Arguments
Name Type Description
realval real The value of the type real
Related functions
• get_resolution
• to_real()
Example
If the simulator resolution is set to 1 ps, and you enter the following function:
timeval := to_time(72.49);
Modeling Memory
If you want to model a memory with VHDL using signals, you may encounter either of the
following common problems with simulation:
• Memory allocation error, which typically means the simulator ran out of memory and
failed to allocate enough storage.
• Very long times to load, elaborate, or run.
These problems usually result from the fact that signals consume a substantial amount of
memory (many dozens of bytes per bit), all of which must be loaded or initialized before your
simulation starts.
As an alternative, you can model a memory design using variables or protected types instead of
signals, which provides the following performance benefits:
• Reduced storage required to model the memory, by as much as one or two orders of
magnitude
• Reduced startup and run times
• Elimination of associated memory allocation errors
To implement this model, you will need functions that convert vectors to integers. To use it, you
will probably need to convert integers to vectors.
library ieee;
use ieee.numeric_bit.ALL;
entity test is
end test;
-------------------------------------------------------------------------
-- Source: [Link]
-- Component: VHDL synchronous, single-port RAM
-- Remarks: Provides three different architectures
-------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use [Link];
entity memory is
generic(add_bits : integer := 12;
data_bits : integer := 32);
port(add_in : in std_ulogic_vector(add_bits-1 downto 0);
data_in : in std_ulogic_vector(data_bits-1 downto 0);
data_out : out std_ulogic_vector(data_bits-1 downto 0);
cs, mwrite : in std_ulogic;
do_init : in std_ulogic);
subtype word is std_ulogic_vector(data_bits-1 downto 0);
constant nwords : integer := 2 ** add_bits;
type ram_type is array(0 to nwords-1) of word;
end;
library ieee;
use ieee.std_logic_1164.all;
package conversions is
function sulv_to_natural(x : std_ulogic_vector) return
natural;
function natural_to_sulv(n, bits : natural) return
std_ulogic_vector;
end conversions;
if failure then
return 0;
else
return n;
end if;
end sulv_to_natural;
end conversions;
-------------------------------------------------------------------------
-- Source: sp_syn_ram_protected.vhd
-- Component: VHDL synchronous, single-port RAM
-- Remarks: Various VHDL examples: random access memory (RAM)
-------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY sp_syn_ram_protected IS
GENERIC (
data_width : positive := 8;
addr_width : positive := 3
);
PORT (
inclk : IN std_logic;
outclk : IN std_logic;
we : IN std_logic;
addr : IN unsigned(addr_width-1 DOWNTO 0);
data_in : IN std_logic_vector(data_width-1 DOWNTO 0);
data_out : OUT std_logic_vector(data_width-1 DOWNTO 0)
);
END sp_syn_ram_protected;
BEGIN
BEGIN
IF (inclk'event AND inclk = '1') THEN
IF (we = '1') THEN
[Link](data_in, addr);
END IF;
END IF;
END intarch;
-------------------------------------------------------------------------
-- Source: ram_tb.vhd
-- Component: VHDL test bench for RAM memory example
-- Remarks: Simple VHDL example: random access memory (RAM)
-------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY ram_tb IS
END ram_tb;
-------------------------------------------
-- Component declaration single-port RAM
-------------------------------------------
COMPONENT sp_syn_ram_protected
GENERIC (
data_width : positive := 8;
addr_width : positive := 3
);
PORT (
inclk : IN std_logic;
outclk : IN std_logic;
we : IN std_logic;
addr : IN unsigned(addr_width-1 DOWNTO 0);
data_in : IN std_logic_vector(data_width-1 DOWNTO 0);
data_out : OUT std_logic_vector(data_width-1 DOWNTO 0)
);
END COMPONENT;
-------------------------------------------
BEGIN
---------------------------------------------------
-- instantiations of single-port RAM architectures.
-- All architectures behave equivalently, but they
-- have different implementations. The signal-based
-- architecture (rtl) is not a recommended style.
---------------------------------------------------
spram1 : entity work.sp_syn_ram_protected
GENERIC MAP (
data_width => 8,
addr_width => 12)
PORT MAP (
inclk => clk,
outclk => clk,
we => we,
addr => addr(11 downto 0),
data_in => data_in1,
data_out => data_sp1);
-------------------------------------------
-- clock generator
-------------------------------------------
clock_driver : PROCESS
BEGIN
clk <= '0';
WAIT FOR clk_pd / 2;
LOOP
clk <= '1', '0' AFTER clk_pd / 2;
WAIT FOR clk_pd;
END LOOP;
END PROCESS;
-------------------------------------------
-- data-in process
-------------------------------------------
datain_drivers : PROCESS(data_in)
BEGIN
data_in1 <= std_logic_vector(data_in(7 downto 0));
END PROCESS;
-------------------------------------------
-- simulation control process
-------------------------------------------
ctrl_sim : PROCESS
BEGIN
FOR i IN 0 TO 1023 LOOP
we <= '1';
data_in <= to_unsigned(9000 + i, data_in'length);
addr <= to_unsigned(i, addr'length);
inaddr <= to_unsigned(i, inaddr'length);
outaddr <= to_unsigned(i, outaddr'length);
WAIT UNTIL clk'EVENT AND clk = '0';
WAIT UNTIL clk'EVENT AND clk = '0';
we <= '0';
addr <= to_unsigned(i, addr'length);
outaddr <= to_unsigned(i, outaddr'length);
WAIT UNTIL clk'EVENT AND clk = '0';
WAIT UNTIL clk'EVENT AND clk = '0';
END LOOP;
ASSERT false
REPORT "### End of Simulation!"
SEVERITY failure;
END PROCESS;
END testbench;
In VHDL, this situation can occur several ways. The most common are waits with time-out
clauses and projected waveforms in signal assignments.
At time 0, process p makes an event for time 10ms. When synch goes to 1 at 10 ns, the event at
10 ms is marked as cancelled but not deleted, and a new event is scheduled at 10ms + 10ns. The
cancelled events are not reclaimed until time 10ms is reached and the cancelled event is
processed. As a result, there will be 500000 (10ms/20ns) cancelled but un-deleted events. Once
10ms is reached, memory will no longer increase because the simulator will be reclaiming
events as fast as they are added.
For projected waveforms, the following would behave the same way:
This chapter describes how to compile and simulate Verilog and SystemVerilog designs with
ModelSim. This chapter covers the following topics:
• Basic Verilog Usage — A brief outline of the steps for using Verilog in a ModelSim
design.
• Verilog Compilation — Information on the requirements for compiling Verilog designs
and libraries.
• Verilog Simulation — Information on the requirements for running simulation.
• Cell Libraries — Criteria for using Verilog cell libraries from ASIC and FPGA vendors
that are compatible with ModelSim.
• System Tasks and Functions — System tasks and functions that are built into the
simulator.
• Compiler Directives — Verilog compiler directives supported for ModelSim.
• Verilog PLI/VPI and SystemVerilog DPI — Verilog and SystemVerilog interfaces that
you can use to define tasks and functions that communicate with the simulator through a
C procedural interface.
• SystemVerilog Class Debugging — Information on debugging SV Class objects.
Note
ModelSim supports partial implementation of SystemVerilog IEEE Std 1800-2009.
For release-specific information on currently supported implementation, refer to the
following text file located in the ModelSim installation directory:
<install_dir>/docs/technotes/[Link]
SystemVerilog is built “on top of” IEEE Std 1364 for the Verilog HDL and improves the
productivity, readability, and reusability of Verilog-based code. The language enhancements in
SystemVerilog provide more concise hardware descriptions, while still providing an easy route
with existing design and verification products into current hardware implementation flows. The
enhancements also provide extensive support for directed and constrained random testbench
development, coverage-driven verification, and assertion-based verification.
The standard for SystemVerilog specifies extensions for a higher level of abstraction for
modeling and verification with the Verilog hardware description language (HDL). This
standard includes design specification methods, embedded assertions language, testbench
language including coverage and assertions application programming interface (API), and a
direct programming interface (DPI).
Note
The term “Language Reference Manual” (or LRM) is often used informally to refer to the
current IEEE standard for Verilog or SystemVerilog.
for Loops
ModelSim allows using Verilog syntax that omits any or all three specifications of a for loop:
initialization, termination, increment. This is similar to allowed usage in C and is shown in the
following examples.
Note
If you use this variation, a suppressible warning (2252) is displayed, which you can
change to an error if you use the vlog -pedanticerrors command.
1. Compile your Verilog code into one or more libraries using the vlog command. See
Verilog Compilation for details.
2. Load your design with the vsim command. Refer to Verilog Simulation.
3. Simulate the loaded design and debug as needed.
Verilog Compilation
The first time you compile a design there is a two-step process:
1. Create a working library with vlib or select File > New > Library.
2. Compile the design using vlog or select Compile > Compile.
vlib work
This creates a library named work. By default compilation results are stored in the work
library.
The work library is actually a subdirectory named work. This subdirectory contains a special
file named _info. Do not create libraries using UNIX commands – always use the vlib
command.
As the design compiles, the resulting object code for modules and user-defined primitives
(UDPs) is generated into a library. As noted above, the compiler places results into the work
library by default. You can specify an alternate library with the -work argument of the vlog
command.
The following example shows how to use the vlog command to invoke the Verilog compiler:
After compiling top.v, vlog searches the vlog_lib library for files with modules with the same
name as primitives referenced, but undefined in top.v. The use of +libext+.v+.u implies
filenames with a .v or .u suffix (any combination of suffixes may be used). Only referenced
definitions are compiled.
• Any file within the design contains the .sv file extension
• You use the -sv argument with the vlog command
The following examples of the vlog command show how to enable SystemVerilog features and
keywords in ModelSim:
In the first example, the .sv extension for testbench automatically causes ModelSim to parse
SystemVerilog keywords. In the second example, the -sv argument enables SystemVerilog
features and keywords.
Keyword Compatibility
One of the primary goals of SystemVerilog standardization has been to ensure full backward
compatibility with the Verilog standard. Questa recognizes all reserved keywords listed in
Table B-1 in Annex B of IEEE Std 1800-2009.
In previous ModelSim releases, the vlog command read some IEEE Std 1800-2009 keywords
and treated them as IEEE Std 1800-2005 keywords. However, those keywords are no longer
recognized in the IEEE Std 1800-2005 keyword set.
The following reserved keywords have been added since IEEE Std 1800-2005:
If you use or produce SystemVerilog code that uses any of these strings as identifiers from a
previous release in which they were not considered reserved keywords, you can do either of the
following to avoid a compilation error:
• Use a different set of strings in your design. You can add one or more characters as a
prefix or suffix (such as an underscore, _) to the string, which will cause the string to be
read in as an identifier and not as a reserved keyword.
• Use the SystemVerilog pragmas `begin_keywords and `end_keywords to define
regions where only IEEE Std 1800-2005 keywords are recognized.
If you do not use the -sv argument with the vlog command, then ModelSim assumes that only
files with the extension .sv, .svh, or .svp are SystemVerilog.
reads in a.v and d.v as a Verilog files and reads in [Link] and [Link] as SystemVerilog files.
By default, ModelSim instructs the compiler to treat all files within a compilation command line
as separate compilation units (single-file compilation unit mode, which is the equivalent of
using vlog -sfcu).
ModelSim would group these source files into three compilation units:
• Run vlog -enumfirstinit when compiling and run vsim -enumfirstinit when simulating.
• Set EnumBaseInit = 0 in the [Link] file.
Incremental Compilation
ModelSim supports incremental compilation of Verilog designs—there is no requirem