Academia.edu no longer supports Internet Explorer.
To browse Academia.edu and the wider internet faster and more securely, please take a few seconds to upgrade your browser.
1999
AI
An interconnect-centric design flow is proposed to address the challenges posed by nanometer technologies in integrated circuit (IC) design. The focus shifts from a traditional device/logic-centric approach to one that emphasizes interconnect estimation, planning, synthesis, and layout throughout the design process. By integrating optimal interconnect synthesis techniques and efficient layout strategies, this methodology aims to enhance performance, power efficiency, and reliability of IC designs, ultimately leading to better outcomes in the era of gigahertz frequencies and increasing complexity in layout design.
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2001
This paper presents a set of interconnect performance estimation models for design planning with consideration of various effective interconnect layout optimization techniques, including optimal wire sizing, simultaneous driver and wire sizing, and simultaneous buffer insertion/sizing and wire sizing. These models are extremely efficient, yet provide high degree of accuracy. They have been tested on a wide range of parameters and shown to have over 90% accuracy on average compared to running best-available interconnect layout optimization algorithms directly. As a result, these fast yet accurate models can be used efficiently during high-level design space exploration, interconnect-driven design planning/synthesis, and timing-driven placement to ensure design convergence for deep submicrometer designs. Index Terms-Buffer insertion and sizing, design planning, driver sizing, interconnect estimation, wire sizing. I. INTRODUCTION A S THE very large scale integrated (VLSI) circuits are scaled into nanometer dimensions and operate in gigahertz frequencies, interconnect design and optimization have become critical in determining system performance, cost, and reliability. In recent years, many effective interconnect optimization techniques have been proposed for interconnect performance optimization, including wire sizing [1]-[6], device sizing [7]-[9], buffer/repeater insertion [8], [10]-[12], and various combinations of these techniques, such as simultaneous device and wire sizing [13]-[15], simultaneous buffer insertion/sizing and wire sizing (BISWS) [16]-[18] (see [19] and [20] for comprehensive survey/tutorial). It was shown in [21] that applying the optimization technique of simultaneous driver sizing, buffer insertion/sizing, and wire sizing can significantly reduce the global interconnect delay (of a 2-cm line) by a factor of five to six times when compared to using nominal wire width in the 0.07-m technology generation from [22]. Given such a great impact of interconnect layout optimization on the interconnect performance and, thus, on the overall chip performance, it is obvious that interconnect layout optimization must be considered properly at each design stage. However, in the current VLSI design flow, most interconnect layout optimization is performed at late stages such as global
IMAPS International conference on Emerging Microelectronics & Interconnection Technology, 1998
The arrival of deep submicron technologies (DSM) has opened up a new dimension to all problems related to VLSI design and test. These technologies allow us to build entire systems on a chip, and as a result, the complexity of problems such as logic synthesis, technology mapping, and physical design have gone up tremendously. More importantly, some of the assumptions related to the effect of interconnect delays are no more valid in the submicron domain. Interconnect is now responsible for 90% of signal delays in a DSM integrated circuit. As a result, problems such as circuit partitioning, placement, and routing must be predominantly concerned with the minimization of interconnect delays. Problems at the higher level of design abstraction, such as high−level synthesis and logic synthesis must also address the issue of interconnect delays. VLSI engineers now agree that failure to take interconnect−related issues into account early in the design life cycle can lead to a failure in meeting the specification, leading to costly design iterations. In this paper, our objective is to conduct a survey of state−of−the−art papers in modeling and synthesis of interconnects.
[1990] Proceedings of the 23rd Annual Workshop and Symposium@m_MICRO 23: Microprogramming and Microarchitecture
We describe a simple linear placement model applicable to bit-slice data-paths and to the simultaneous generation of geometric layout with the synthesis of the interconnection nets required for communication. This model allows direct area trade-offs between several alternative interconnection devices such as multiplexers. busses, tri-state drivers and point-to-point wire connections. Addition of geometric constraints to the interconnection synthesis allows accurate interpretation of the designed connections in terms of the actual area required and the relative speed and routing limitations. Use of direct area cost functions in the design of the interconnections leads to different designs than do the a priori cost functions commonly used in high level synthesis systems. The newer designs make better use of the area and routing density than do designs where previously minimized interconnections are mapped into a linear placement. Also described is a new fart heuristic for linear placement which is used interactively as a cost function in the interconnection design.
Proceedings of the …, 2008
Accurate modeling of delay, power, and area of interconnections early in the design phase is crucial for effective system-level opti-mization. Models presently used in system-level optimizations, such as network-on-chip (NoC) synthesis, are inaccurate in the presence of ...
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2003
Because of the complexity of the route problem in ultra large scale integrated (ULSI) designs, multiple route solutions are possible, some route solutions are more efficient than others, and there is a need for statistical tools to determine whether a designer or preroute algorithm is following an efficient path. In a ULSI environment, the problem of routing is best addressed with the combination of a customized preroute algorithm and routing system. One of the key issues in this context is how to divide the routing task between the preroute algorithm and the routing system; to address this issue, it is necessary to develop criteria to assign certain signals to the preroute algorithm and other signals to the routing system. Another key issue is how to evaluate the interactions of the combination of the algorithm and the routing system in order to decide whether intervention with the preroute algorithm is effective in improving physical properties of routes for select signals without adversely affecting physical properties of routes generated with the routing system. In a practical implementation, it is also important to predict when the combined effort is likely to improve the existing solution and to establish a point of diminishing returns beyond which further interactions are no longer effective. This paper presents a self-consistent formalism for intervention with preroute algorithms in ULSI designs. A framework is presented to quantify the physical properties of routes prepared with a preroute algorithm. This paper also presents statistical frameworks to assess the effectiveness of a preroute algorithm and to decide when to stop its use. The main emphasis is on incorporating intervention with custom algorithms in the design process in a seamless manner. The frameworks presented in this paper are applied to an analysis of the POWER4 Instruction Fetch Unit; in this example, the preroute algorithm is custom interconnection design.
2016
This thesis proposes a graph-based maze routing and buffer insertion algorithm for nanometer Very Large Scale Integration (VLSI) layout designs. The algorithm is called Hybrid Routing Tree and Buffer insertion with Look-Ahead (HRTB-LA). In recent VLSI designs, interconnect delay becomes a dominant factor compared to gate delay. The well-known technique to minimize the interconnect delay is by inserting buffers along the interconnect wires. In conventional buffer insertion algorithms, the buffers are inserted on the fixed routing paths. However, in a modern design, there are macro blocks that prohibit any buffer insertion in their respective area. Most of the conventional buffer insertion algorithms do not consider these obstacles. In the presence of buffer obstacles, post routing algorithm may produce poor solution. On the other hand, simultaneous routing and buffer insertion algorithm offers a better solution, but it was proven to be NP-complete. Besides timing performance, power d...
6th International Workshop on HLS Proc, 1992
Until recently, the emphasis in automated datapath construction was optimization through reduction of resources due to area constraints. Lately, this constraint has relaxed somewhat with the reduction of minimal feature sizes and the expansion of die sizes. This shift has ...
Electronics, 2017
As we increasingly use advanced technology nodes to design integrated circuits (ICs), physical designers and electronic design automation (EDA) providers are facing multiple challenges, firstly, to honor all physical constraints coming with cutting-edge technologies and, secondly, to achieve expected quality of results (QoR). An advanced technology should be able to bring better performances with minimum cost whatever the complexity. A high effort to develop out-of-the-box optimization techniques is more than needed. In this paper, we will introduce a new routing technique, with the objective to optimize timing, by only acting on routing topology, and without impacting the IC Area. In fact, the self-aligned double patterning (SADP) technology offers an important difference on layer resistance between SADP and No-SADP layers; this property will be taken as an advantage to drive the global router to use No-SADP less resistive layers for critical nets. To prove the benefit on real test cases, we will use Mentor Graphics' physical design EDA tool Nitro-SoC™ and several 7 nm technology node designs. The experiments show that worst negative slack (WNS) and total negative slack (TNS) improved up to 13% and 56%, respectively, compared to the baseline flow.
IAEME PUBLICATION, 2021
In the course of recent years, the semiconductor business has been driven by Moore's law, which has accurately anticipated that the quantities of semiconductors incorporated on a chip will twofold every 18 two years, bringing about outstanding development in chip intricacy. This pattern was initially conjecture in 1965 dependent on just five information focuses, the biggest of which compared to only 64 On to Chip semiconductors. Incredibly, it's anything but an exact indicator from that point until the present 3 billion semiconductor plans. To some degree, this is because of the semiconductor business' endeavors to make Moore's "law" an unavoidable outcome, through essential plans, for example, those illustrated in the International Technology Roadmap for Semiconductors, to drive the business and the general store network to accomplish and support this noteworthy development. On the interest side, this development has been prodded on by the colossal craving for more up to date, quicker, less expensive, and more versatile chips that have altered our lifestyle, making an inescapable engraving across regions like logical figuring, remote correspondence, the web, electronic diversion, advanced photography and videography, medical services, security, and banking. There are four critical obstructions to the continuation of this pattern. In the first place, Moore's law has been worked with by persistently contracting semiconductor and wire measurements, so more gadgets can be created inside a similar silicon region. Nonetheless, these element sizes are presently down to several nanometers, where the expense of assembling is high. Second, despite the fact that Moore's law makes more gadgets accessible on a chip, running such a large number of them scatters unsuitably high force and creates inordinate warmth. These impediments imply that a more modest part, everything being equal, can stay on at a given time, and inventive force conveyance and warm administration techniques are fundamental. As one piece of the arrangement, single- centre processors have cleared a path for multicore processors, which empower better force and warm administration. Third, as more gadgets have been put on a chip, there is a requirement for more noteworthy correspondence between the gadgets. Traditional ideal models that utilization committed wires or transports don't scale well with framework sizes, and clever thoughts like framework On to Chip, networks-On to Chip (NoCs) and so forth are acquiring footing for future On to Chip correspondence designs, especially multicores. What's more, in conclusion, interconnect crosstalk commotion has genuine ramifications as it influences the sign honesty of the framework. An exact examination of crosstalk impacts is fundamental and a basic issue. This issue is effectively models and examinations the crosstalk impacts in current-mode flagging (CMS) multilinecoupled-disseminated obstruction inductance-capacitance (RLC) interconnect. This spurred by these difficulties and identifies with advancing interconnects for multicore chips, which is generally acknowledged as the significant execution bottleneck in future plans. This desk work is to plan and advancement of interconnect; to displayed RCL interconnect line utilizing trademark impedance of line, and to contemplate power conveyance in multicore chips.
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2000
This paper studies buffer block planning for interconnect planning and prediction in deep submicron designs. We first introduce the concept of feasible region for buffer insertion, and derive its closedform formula. We observe that the feasible region for a buffer is quite large in general even under fairly tight delay constraint. Therefore, FR gives us a lot of flexibility to plan for buffer locations. We then develop an effective buffer block planning algorithm to perform buffer clustering such that design objectives such as overall chip area and the number of buffer blocks can be minimized. Effective buffer block planning can plan and predict system-level interconnect by construction, so that accurate interconnect information can be used in early design stages to ensure design closure.
In current deep sub-micron chip design, interconnect effects start to dominate the chip's performance. Therefore, the design flow has to become more interconnect-oriented. To limit the number of design iterations (and hence improve the time-to-market) one has to estimate interconnect param- eters and their impact as early as possible. This is the goal of the field of System-Level Interconnect Prediction. New research results are becoming available and the last couple of years have brought both more interest and more progress in the field than in the thirty years before. This paper is an introduction to the field and provides an overview of some of the recent advances in system-level interconnect prediction, including several applica- tions.
VLSI: Systems on a Chip, 2000
Architectural synthesis tools map algorithms to architectures under various constraints and quickly providc estimations of area and performance. However. these tools do not take the intcrconnection cost into account whereas it bccomes predominant with the technology dccrease and the application complexity incrcasc. A way to control costly interconnections during the architcctural proccss is prcscnted in this paper. Architcctural synthesis, digital ASIC dcsign, sub-micron tcchnologies, interconncction cost The original version of this chapter was revised: The copyright line was incorrect. This has been corrected. The Erratum to this chapter is available at DOI:
1999
In this paper we develop a set of interconnect delay estimation models with consideration of various layout optimizations, including optimal wire-sizing OWS, simultaneous driver and wire sizing SDWS, and simultaneous bu er insertion sizing and wire sizing BISWS. These models have been tested on a wide range of parameters and shown to have about 90 accuracy on average compared with those from running complex optimization algorithms directly followed by HSPICE simulations. Moreover, our models run in constant time in practice. As a result, these simple, fast, yet accurate models are expected t o b e very useful for a wide variety of purposes, including layout-driven logic and high level synthesis, performance-driven oorplanning, and interconnect planning.
2008
Abstract This article presents a software framework for communication infrastructure synthesis of distributed systems, which is critical for overall system performance in communication-based design. Particular emphasis is given to on-chip interconnect synthesis of multicore designs.
2004
For multi-gigahertz synchronous designs in nanometer technologies, multiple clock cycles are needed to cross the global interconnects, thus making it necessary to have pipelined global interconnects. In this paper we present an architecture-level synthesis solution to support automatic pipelining of on-chip interconnects. Specifically, we extend the recently proposed Regular Distributed Register (RDR) micro-architecture to support interconnect pipelining. We formulate a novel global interconnect sharing problem for global wiring minimization and show that it is polynomial time solvable by transformation to a special case of the real-time scheduling problem. Experimental results show that our approach matches or exceeds the RDR-based approach in performance, with a significant wiring reduction of 15% to 21%.
IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings., 2004
In Deep Sub-Micron (DSM) technologies, interconnects play a crucial role in the correct functionality and largely impact the performance of complex System-on-Chip (SoC) designs. For technologies of 0.25µm and below, wiring capacitance dominates gate capacitance, thus rapidly increasing the interconnect-induced delay. Moreover, the coupling capacitance becomes a significant portion of the on-chip total wiring capacitance, and coupling between adjacent wires cannot be considered as a second-order effect any longer. As a consequence, the traditional top-down design methodology is ineffective, since the actual wiring delays can be computed only after layout parasitic extraction, when the physical design is completed. Fixing all the timing violations often requires several time-consuming iterations of logical and physical design, and it is essentially a trial-and-error approach. Increasingly tighter time-to-market requirements dictate that interconnect parasitics must be taken into account during all phases of the design flow, at different level of abstractions. However, given the aggressive technology scaling trends and the growing design complexity, this approach will only temporarily ameliorate the interconnect problem. We believe that in order to achieve gigascale designs in the nanometer regime, a novel design paradigm, based on new forms of regularity and newly created IP (Intellectual Property) blocks must be developed, to provide a direct path from system-level architectural exploration to physical implementation.
… of Computing Systems- …, 2007
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2002
In this paper, we study wire width planning for interconnect performance optimization in an interconnect-centric design flow. We first propose some simplified, yet near-optimal wire sizing schemes, using only one or two discrete wire widths. Our sensitivity study on wire sizing optimization further suggests that there exists a small set of "globally" optimal wire widths for a range of interconnects. We develop general and efficient methods for computing such a "globally" optimal wire width design and show rather surprisingly that using only two "predesigned" widths for each metal layer, we are still able to achieve close to optimal performance compared with that by using many possible widths, not only for one fixed length, but also for all wire lengths assigned at each metal layer. Our wire width planning can consider different design objectives and wire length distributions. Moreover, our method has a predictable small amount of errors compared with optimal solutions. We expect that our simplified wire sizing schemes and wire width planning methodology will be very useful for better design convergence and simpler routing architectures.
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 1998
The significant role played by interconnects in determining the speed and chip size of very-large-scale integrated circuits (VLSI's) necessitates the development of new processes and tools for almost every device generation. Since such development usually requires lead times of several years, it has become essential to know, several years in advance, the various interconnect parameters for a particular generation. In this paper, a tool for optimizing interconnect parameters is presented. The formulation of an optimization problem that can be solved using standard algorithms is shown to be possible, and the optimization results obtained for future device generations are discussed. These results can be used to construct an interconnect technology roadmap. Last, shortcomings of and possible improvements to existing system-level critical path models are discussed.
Proceedings of the 36th ACM/IEEE conference on Design automation conference - DAC '99, 1999
This paper reports two sets of important results in our exploration of an interconnect-centric design flow for deep submicron (DSM) designs: (i) We obtain eficient yet accumte wiring area estimation models for optimal wire sizing (OWS). We also pwpose a simple metric to guide area-eficient performance optimization; (ii) Guided by our interconnect estimation models, we study the interconnect architecture planning problem for wirewidth designs. We achieve a rather surprising result which suggests that two pre-determined wire widths per metal layer are suficient to achieve near-optimal performance. This result will greatly simplify the muting architecture and tools for DSM designs. We believe that our interconnect estimation and planning results will have a significant impact on DSM designs.
Loading Preview
Sorry, preview is currently unavailable. You can download the paper by clicking the button above.