Plugin Directory

Changeset 2823149


Ignore:
Timestamp:
11/23/2022 08:04:38 PM (3 years ago)
Author:
mseminatore
Message:

added SystemVerilog support

Location:
add-verilog-brush-syntaxhighlighter-evolved/trunk
Files:
2 edited

Legend:

Unmodified
Added
Removed
  • add-verilog-brush-syntaxhighlighter-evolved/trunk/readme.txt

    r2570331 r2823149  
    44Tags: syntaxhighlighter, verilog
    55Requires at least: 4.7
    6 Tested up to: 5.8
    7 Stable tag: 1.0.15
     6Tested up to: 6.1.1
     7Stable tag: 1.0.16
    88Requires PHP: 7.0
    99License: GPLv3
  • add-verilog-brush-syntaxhighlighter-evolved/trunk/shBrushVerilog.js

    r2410702 r2823149  
    77    {
    88   
    9         var datatypes = 'wire reg integer real time ';
     9        var datatypes = 'wire reg integer real time logic ';
    1010
    1111        var keywords =  'always assign begin case casex casez default else end endcase endfunction endmodule endprimitive endtable endtask ' +
    1212                        'for forever function if initial inout input localparam module negedge output parameter posedge primitive ' +
    13                         'repeat table task timescale while';
     13                        'repeat table task timescale while always_ff always_comb always_latch typedef enum ';
    1414                   
    1515        var functions = 'and or nand nor not xor ';
Note: See TracChangeset for help on using the changeset viewer.