Changeset 2823149
- Timestamp:
- 11/23/2022 08:04:38 PM (3 years ago)
- Location:
- add-verilog-brush-syntaxhighlighter-evolved/trunk
- Files:
-
- 2 edited
-
readme.txt (modified) (1 diff)
-
shBrushVerilog.js (modified) (1 diff)
Legend:
- Unmodified
- Added
- Removed
-
add-verilog-brush-syntaxhighlighter-evolved/trunk/readme.txt
r2570331 r2823149 4 4 Tags: syntaxhighlighter, verilog 5 5 Requires at least: 4.7 6 Tested up to: 5.87 Stable tag: 1.0.1 56 Tested up to: 6.1.1 7 Stable tag: 1.0.16 8 8 Requires PHP: 7.0 9 9 License: GPLv3 -
add-verilog-brush-syntaxhighlighter-evolved/trunk/shBrushVerilog.js
r2410702 r2823149 7 7 { 8 8 9 var datatypes = 'wire reg integer real time ';9 var datatypes = 'wire reg integer real time logic '; 10 10 11 11 var keywords = 'always assign begin case casex casez default else end endcase endfunction endmodule endprimitive endtable endtask ' + 12 12 'for forever function if initial inout input localparam module negedge output parameter posedge primitive ' + 13 'repeat table task timescale while ';13 'repeat table task timescale while always_ff always_comb always_latch typedef enum '; 14 14 15 15 var functions = 'and or nand nor not xor ';
Note: See TracChangeset
for help on using the changeset viewer.