0% ont trouvé ce document utile (0 vote)
100 vues25 pages

Projet Du Parc Eolien de Jbel KHALLADI: - Sujet

Transféré par

Mohamed Rahioui
Copyright
© © All Rights Reserved
Nous prenons très au sérieux les droits relatifs au contenu. Si vous pensez qu’il s’agit de votre contenu, signalez une atteinte au droit d’auteur ici.
Formats disponibles
Téléchargez aux formats PDF, TXT ou lisez en ligne sur Scribd
0% ont trouvé ce document utile (0 vote)
100 vues25 pages

Projet Du Parc Eolien de Jbel KHALLADI: - Sujet

Transféré par

Mohamed Rahioui
Copyright
© © All Rights Reserved
Nous prenons très au sérieux les droits relatifs au contenu. Si vous pensez qu’il s’agit de votre contenu, signalez une atteinte au droit d’auteur ici.
Formats disponibles
Téléchargez aux formats PDF, TXT ou lisez en ligne sur Scribd

Page

Examen National du Brevet de Technicien Supérieur


Session 2020 1
- Sujet - 25
Centre National de l'Évaluation et des Examens

Filière : Systèmes Electroniques - SE - Durée : 4 Heures


Épreuve : Electronique Coefficient : 35

Projet du parc Eolien de Jbel KHALLADI


Dans le cadre de sa stratégie énergétique, le Maroc s’est engagé depuis 2010, dans un vaste programme
éolien, pour accompagner le développement des énergies renouvelables et de l’efficacité énergétique dans le
pays. Le Projet Marocain Intégré de l'Energie Eolienne, s’étalant sur une période de 10 ans pour un
investissement total estimé à 31,5 milliards de dirhams, permettra au pays de porter la puissance électrique
installée, d’origine éolienne, de 280 MW en 2010 à 2000 MW à l’horizon 2020.
Pour le développement de 1720 MW, de nouveaux parcs éoliens ont été prévus dans le cadre de ce
projet éolien : Tarfaya (300 MW), Akhfenir (200 MW), Bab El Oued (50 MW), Haouma (50 MW), Jbel
Khalladi (120 MW), Tanger 2 (150 MW), Koudia El Baida à Tétouan (300 MW), Taza (150 MW), Tiskrad à
Laayoune (300 MW) et Boujdour (100 MW).
Collant à cette stratégie nationale de développement des énergies renouvelables, Le Maroc a inauguré
en juin 2018, le parc éolien Khalladi à 30Km de Tanger, ayant une production annuelle de 380 GWh/an (soit
la consommation d’une ville de 400.000 habitants).

Figure 1 : Image du parc éolien Jbel KHALLADI.

Ce parc est composé de 40 éoliennes, d’une capacité individuelle de sortie de 3 MW, produisant ainsi
une puissance potentielle totale de 120 MW. L’empreinte du parc éolien, couvre une zone équivalente à 180
ha principalement autour de la crête du Jbel Sendouq (Meloussa) Khalladi.
Le modèle de l’éolienne utilisée est de type Vestas V90-3000, qui possède trois pales d’une longueur
de 44 m et une tour de hauteur 80 m.
L’éolienne se compose des éléments suivants : Le rotor avec ses pales, la nacelle avec son générateur,
l’anémomètre et la girouette comme indiqué sur la figure 2 suivante :
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session 2020 - Page

Filière : Systèmes Electroniques – SE - Épreuve : Electronique 2


25

Figure 2 : composants d’une éolienne.


La surveillance du parc se fait à plusieurs niveaux : Surveillance locale des paramètres du site,
supervision à distance du site et surveillance électrique.
Les données, nécessaires pour contrôler l’éolienne et la production d'énergie, sont assurées par
différents capteurs mesurant :
- Conditions météorologiques : direction, vitesse et température du vent, détecteurs de foudre ;
- Conditions de la machine : températures, niveau et pression d'huile, niveau d'eau de refroidissement,
vibrations ;
- Activité du rotor : vitesse et position des pales ;
- Connexion au réseau électrique : puissance active, puissance réactive, tension, courant, fréquence.

Les échanges de données entre les différents blocs s’effectuent sur plusieurs liaisons comme indiqué
sur la figure 3 suivante :

Contrôleur Contrôleur
d’orientation réseau
Nacelle électrique
Capteurs de Calculateur Calculateur
vitesse et de Nacelle Génératrice
direction du vent Bus CAN
Interface sans
fil pour bus
CAN
Réseau
Ethernet

Switch /
Réseau WAN Routeur Interface sans Calculateur
fil pour bus Rotor
CAN

Figure 3 : Schéma Bloc de l’architecture simplifié d’une éolienne V90-3000.


Sujet de l’Examen National du Brevet de Technicien Supérieur - Session 2020 - Page

Filière : Systèmes Electroniques – SE - Épreuve : Electronique 3


25
I. Analyse de l’installation électrique de l’éolienne
La société ACWA Power a sélectionné des éoliennes Vestas modèle V90-3000 (voir ANNEXE 1)
Q1. Sur le tableau du document réponse DR1, Citer les caractéristiques de la génératrice utilisé dans
l’éolienne Vestas V90-3000 (voir ANNEXE 1).
Q2. Déterminer le nombre d’éoliennes nécessaire pour produire une puissance maximale de 120MW.
Q3. Relever la vitesse du vent à partir de laquelle l’éolienne Vestas V90-3000 délivre sa puissance nominale
3000W.

II. Étude du capteur de vent de l’éolienne.


1. Conditionnement du transmetteur et récepteur ultrason
La nacelle est équipée de deux capteurs de vent ultrasoniques redondants, afin d'augmenter la fiabilité
et la précision des mesures de vent. Ces capteurs mesurent la direction et la vitesse du vent. Ils sont situés au
sommet de la nacelle.
Q4. A partir du document constructeur du capteur fourni en ANNEXE 2, Déterminer l’étendue de mesure
de la vitesse et de la direction du vent.

La mesure s’effectue par le calcul du temps de déplacement du son mis entre l’émetteur et le récepteur
(distants de L=0,2 m). La vitesse et la direction du vent influent directement sur les temps de transmission
entre l’émetteur et le récepteur.
La figure 4.a, montre une image du capteur de vent et la figure 4.b montre un exemple de mesure des
durées de transmission des ondes ultrason.

T2=576,53 µs

T1= 609,66 µs

T2=568,26 µs

T1= 600,42 µs

Figure 4.a figure 4.b


Sujet de l’Examen National du Brevet de Technicien Supérieur - Session 2020 - Page

Filière : Systèmes Electroniques – SE - Épreuve : Electronique 4


25
Le principe de fonctionnement consiste à ce que le microcontrôleur du capteur génère un signal ultrason
de fréquence 40KHz (appelé BURST), ce signal est envoyé à tour de rôle aux transmetteurs ultrasons (NORD,
SUD, OUEST et EST). Au bout d’un temps, le signal BURST est capté par le récepteur ultrason correspondant
(exemple : récepteur SUD si l’émetteur est NORD).

NORD

SUD

T1

Figure 5 : exemple transmission et réception du signal ultrason (BURST)

Le microcontrôleur mesure la durée T1 nécessaire au BURST pour parcourir la distance de 20 cm qui


sépare l’émetteur NORD (EST) du récepteur ultrason SUD (OUEST) et la durée T2 nécessaire au BURST
pour parcourir la distance de 20 cm qui sépare l’émetteur SUD (OUEST) du récepteur ultrason NORD (EST).
Par la suite, le microcontrôleur détermine la vitesse du vent en calculant la composante V1 (selon la
direction NORD vers le SUD) et la composante V2 (selon la direction EST vers le OUEST).

Q5. À partir de la formule de calcul de vitesse donnée en ANNEXE 2, et sachant que les transducteurs du
capteur de vent sont distants de L=0.2m, complétez le tableau du document réponse DR1.

La figure suivante montre le principe de calcul de la vitesse (module de V) et la direction (angle ) du


vent par la représentation vectorielle.

Figure 6 : représentation vectorielle du vent

Q6. Calculez la vitesse du vent (module de V) en m/s, puis en km/h.


Q7. Calculez l’angle  (direction du vent) en degré.
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session 2020 - Page

Filière : Systèmes Electroniques – SE - Épreuve : Electronique 5


25
Le schéma suivant représente l’amplificateur de puissance du transmetteur ultrason :

Figure 7 : Amplificateur de puissance de transmission signal ultrason (BURST)

Q8. Calculez le courant IB sachant que VBE=0.7V et BURST=5V.


Q9. Calculez le courant IC sachant que le transistor est saturé (VCE=0.2V), et l’impédance du transmetteur
ultrason (BUZ1), est infinie.
Q10. Sachant que min=100, montrer que la condition de saturation du transistor est satisfaite.

Le schéma suivant représente le conditionnement du signal ultrason reçu :

Figure 8 : Conditionnement de réception signal ultrason (BURST)


Les ampli-op sont supposés parfaits.
Q11. Exprimer VA en fonction de VR et Vref.
Q12. Exprimer VB en fonction de VR, R1, R2 et Vref.
Q13. Exprimer VC en fonction de VR, R1, R2, R3, R4 et Vref.
Q14. Montrer que : 𝑉𝐶 = 𝑉𝑟𝑒𝑓 + 𝐺. 𝑉𝑅 et Calculer la valeur numérique du gain 𝐺.
Q15. L’ampli op 3 est monté en comparateur, Calculer le seuil de comparaison V-.
Q16. Sur le document réponse DR1, tracer les signaux VD et BURST en fonction du signal VC, Sachant que
la sortie du comparateur ne peut être égale qu’à +Vsat=12V ou -Vsat=0V.

2. Mesure de la vitesse et direction du vent à l’aide du PIC16F876


La figure suivante représente le schéma de principe du capteur de vent réalisé à base du microcontrôleur
PIC16F876.
C1 C2
22pF 22pf
16MHZ
2 1

U1
CRYSTAL
9 21
OSC1/CLKIN RB0/INT
10 22
R1 OSC2/CLKOUT RB1
1 23
MCLR/Vpp/THV RB2
10k 24
RB3/PGM
2 25
RA0/AN0 RB4
Filière : Systèmes Electroniques – SE -

3 26 U4 74HCT238 U5 74ALS151
RA1/AN1 RB5
4 27 A0 1 15 4 5
RA2/AN2/VREF- RB6/PGC A Y0 BURST_OUT_NORD BURST_IN_SUD X0 Y
RESET 5 28 A1 2 14 3
RA3/AN3/VREF+ RB7/PGD B Y1 BURST_OUT_SUD BURST_IN_NORD X1
6 3 13 2 6
RA4/T0CKI C Y2 BURST_OUT_OUEST BURST_IN_EST X2 Y
7 11 12 1
RA5/AN4/SS RC0/T1OSO/T1CKI Y3 BURST_OUT_EST BURST_IN_OUEST X3
12 BURST_OUT_PIC 11 15
RC1/T1OSI/CCP2 Y4 X4
13 BURST_IN_PIC 4 10 14
RC2/CCP1 E1 Y5 X5
14 5 9 13
RC3/SCK/SCL E2 Y6 X6
15 6 7 12
RC4/SDI/SDA E3 Y7 X7
16
RC5/SDO
17 11
RC6/TX/CK A
18 10
RC7/RX/DT B
9
C
PIC16F876
U2 GND
7
E
8
RS422 A+ A
Sujet de l’Examen National du Brevet de Technicien Supérieur

2
R
7
RS422 A- B
VCC
6
RS422 B+ Z
3
D
5
RS422 B- Y
SN75179 R2 R3
10k 10k
U6

Figure 9 : Carte de commande du capteur de vent ultrason


7 4
vitesse_Analog_out OUTA SCL
8 5
direction_Analog_out OUTB SDA
6 3
Épreuve : Electronique

VCC REF ADD


MAX5822L
- Session 2020 -
6
Page

25
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session 2020 - Page

Filière : Systèmes Electroniques – SE - Épreuve : Electronique 7


25
Le PIC génère 8 périodes d’un signal PWM, de fréquence 40KHz, sur la sortie BURST_OUT (CCP2)
et mesure le temps qui sépare l’envoi de ce signal et sa réception sur l’entrée BURST IN (CCP1)
Le PIC16F876 est doté d'un compteur 8 bits appelé TMR2. La figure suivante donne une présentation
du schéma bloc du compteur TMR2 :

FTMR2

Figure 10 : Schéma bloc du TMR2 du PIC

Q17. Sur le document réponse DR2, Déterminer la valeur binaire à mettre dans T2CON (Voir ANNEXE3)
permettant d’activer l’interface TMR2 avec une horloge interne Fosc/4, un prescaler de 4, et un
postscaler de 1/1.
Q18. Sachant que la fréquence de du quartz est : Fosc=16MHz, calculer la fréquence FTMR2 de l’horloge à
l’entrée du compteur TMR2 Reg. En déduire la période TTMR2.

La figure suivante représente le schéma bloc du CCP en mode PWM :

Figure 11 : Schéma bloc du module CCP en mode PWM

Q19. Sur le document réponse DR2, Déterminer la valeur binaire, à mettre dans CCP2CON (Voir
ANNEXE3) permettant d’activer le mode PWM pour module CCP2, et mettre à 0 les bits
CCP1X:CCP1Y.
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session 2020 - Page

Filière : Systèmes Electroniques – SE - Épreuve : Electronique 8


25
La période et le rapport cyclique du signal généré par le module CCP en mode PWM, sont définis par
le constructeur comme indiqué sur la figure 12 :

𝑇 = (𝑃𝑅2 + 1) ∗ 4 ∗ 𝑇𝑂𝑆𝐶 ∗ 𝑝𝑟𝑒𝑠𝑐𝑎𝑙𝑒𝑟𝑇𝑀𝑅2

𝑡𝑜𝑛 = 𝐶𝐶𝑃𝑅1𝐿 ∗ 4 ∗ 𝑇𝑂𝑆𝐶 ∗ 𝑝𝑟𝑒𝑠𝑐𝑎𝑙𝑒𝑟𝑇𝑀𝑅2

Figure 12 : Signal PWM du PIC

Le signal BURST que le PIC doit générer est de fréquence FBURST= 40KHz et de rapport cyclique égale
à 50%.
Q20. La sortie CCP2 passe à 1 et le compteur TMR2 est remis à 0, dès que sa valeur est égale à la valeur du
registre PR2. Calculer la valeur à mettre dans le registre PR2 pour générer un signal de fréquence égale
à 40KHz.
Q21. La sortie CCP2 est remise à 0 à chaque fois que la valeur du compteur TMR2 est égale à la valeur de
CCPR1H (qui reçoit la valeur de CCPR1L). Calculer la valeur à mettre dans le registre CCPR1L pour
avoir un rapport cyclique de 50 %.

Le PIC16F876 est doté d'un compteur 16 bits appelé TMR1. La figure suivante donne une présentation
du compteur TMR1 :

FTMR1

Figure 13 : Schéma bloc du TMR1 du PIC

Q22. Sur le document réponse DR2, déterminer la valeur binaire à mettre dans T1CON (Voir ANNEXE 3)
permettant d’activer l’interface TMR1 avec une horloge interne fosc/4 et un prescaler de 4.
Q23. Sachant que la fréquence de du quartz est : Fosc=16MHz, calculer la fréquence FTMR1 de l’horloge à
l’entrée du TMR1. En déduire la période TTMR1.
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session 2020 - Page

Filière : Systèmes Electroniques – SE - Épreuve : Electronique 9


25
La figure 14 donne le schéma bloc du module CCP en mode Capture :

Figure 14 : Schéma bloc du module CCP en mode capture

Q24. Sur le document réponse DR2, déterminer la valeur binaire à mettre dans CCP1CON (Voir
ANNEXE.3), pour activer le mode capture sur chaque front montant (rising edge) du signal d’entrée.

Le PIC16F876 permet de mesurer la durée T1 ou T2, à l’aide du TMR1 et du modules CCP1.


Le PIC commence la transmission du BURST et mis à 0 le TMR1, et dès la détection du premier front
sur l’entrée CCP1, la valeur du TMR1 est copiée automatiquement dans le registre CCPR1. Cette valeur
représente la durée (en us) de transmission du BURST (T1 ou T2).

Q25. Sur le document réponse DR2, Compléter la fonction int mesure_T() en respectant l’algorithme
suivant :
- Activer le signal PWM sur la sortie CCP2
- Mettre à 0 TMR1
- Attente de 200s qui correspond à 8 périodes du signal de fréquence 40KHz
- Désactiver le signal PWM à la sortie CCP2.
- Attendre jusqu’à ce que le CCP1IF du registre PIR1 passe à 1
- Mise à 0 du flag CCP1IF.
- CCPR1 dans la variable T
- Retour de la valeur de T

3. Multiplexage et démultiplexage du signal BURST


Le signal BURST_OUT généré par le PIC est dirigé, à tour de rôle, vers l’un des transmetteur ultrason,
grâce au démultiplexeur 1 parmi 4 réalisé à base du circuit 74HCT238 (Voir ANNEXE 4).
Les signaux BURST, reçus des différents récepteurs ultrasons, sont dirigés vers l’entrée BURST_IN
du PIC grâce au multiplexeur 4 vers 1 réalisé à base du circuit 74LS151(Voir ANNEXE 4).
U4 74HCT238 U5
1 15 4 5
A0 A Y0 BURST_OUT_NORD BURST_IN_SUD X0 Y BURST_IN_PIC
2 14 3
A1 B Y1 BURST_OUT_SUD BURST_IN_NORD X1
3 13 2 6
C Y2 BURST_OUT_OUEST BURST_IN_EST X2 Y
12 1
Y3 BURST_OUT_EST BURST_IN_OUEST X3
11 15
BURST_OUT_PIC Y4 X4
4 10 14
E1 Y5 X5
5 9 13
E2 Y6 X6
6 7 12
E3 Y7 X7
11
A
10
B
9
C
GND
7
E
74ALS151

Figure 15 : Multiplexage et démultiplexage


Sujet de l’Examen National du Brevet de Technicien Supérieur - Session 2020 - Page

Filière : Systèmes Electroniques – SE - Épreuve : Electronique 10


25
Q26. Sur le document réponse DR3, Compléter la table de vérité du démultiplexeur 1 parmi 4
Q27. Tracer le schéma logique (logigramme) du démultiplexeur.

On se propose de réaliser ce démultiplexeur par un circuit logique programmable, Son bloc fonctionnel
est le suivant :

BURST_OUT_PIC BURST_OUT_NORD
DEMUX1_4 BURST_OUT_SUD
A1 BURST_OUT_OUEST
A0 BURST_OUT_EST

Q28. Sur le document réponse DR3, Compléter l’entité et l’architecture du démultiplexeur 1 parmi 4.

On se propose de réaliser le multiplexeur 4 vers 1 par un circuit logique programmable. Sa table de


vérité est :
A1 A0 BURST_IN_PIC
0 0 BURST_IN_SUD
0 1 BURST_IN_NORD
1 0 BURST_IN_EST
1 1 BURST_IN_OUEST

Son bloc fonctionnel est :

BURST_IN_SUD
BURST_IN_NORD BURST_IN_PIC
BURST_IN_EST
MUX4_1
BURST_IN_OUEST

A1
A0

Q29. Sur le document réponse DR3, Compléter l’entité et l’architecture du circuit multiplexeur 4 vers 1.

4. Sorties analogiques du capteur


Le capteur de vent fournit également la vitesse et la direction du vent sous forme analogiques grâce au
convertisseur numérique analogique MAX5822L, selon le schéma de principe de la figure 9.
La sortie analogique OUTA correspond à la vitesse du vent mesurée (vitesse_analog_out), tandis que
la sortie analogique OUTB correspond à la direction du vent (direction_analog_out).

Q30. Sur le tableau, document réponse DR4, Indiquer les caractéristiques du convertisseur MAX5822L
(Consulter Annexe 5).
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session 2020 - Page

Filière : Systèmes Electroniques – SE - Épreuve : Electronique 11


25
Q31. D’après le schéma de la figure 9 et l’annexe 5, déterminer l’adresse I2C de l’esclave (circuit
MAX5822L).
Q32. Sachant que la vitesse du vent mesurée par le PIC est égale à 30m/s (en hexa 0x01E), Compléter sur le
document réponse DR4, la trame d’écriture I2C de la donnée vitesse sur la sortie OUTA.
Q33. Sur le document réponse DR4, compléter la fonction void CNA_vitesse(int vitesse) qui permet
d’envoyer la trame de la question Q31 sur le bus I2C.
NB : les fonctions I2C_Start(), I2C_Stop(), char I2C_write(char donnee) et char I2C_read(char ack) sont
prédéfinies.

III. Communication Bus CAN de l’éolienne.


Le calculateur Nacelle transmet périodiquement les données relatives aux capteurs de vitesse et de
direction de vent sous forme d’une trame standard CAN 2.0B, HIGH SPEED (Voir ANNEXE 6), ayant un ID
égale 0x132 pour la vitesse.

Q34. Déterminer les niveaux de tension correspondant aux bits dominant et récessif en complétant le tableau
du document réponse DR4.

La trame suivante, représente la transmission de la donnée relative à la direction du vent sans les bits de
stuffing :
SOF 00100110101 0 00 0010 00000000 11001001 CRC16 01 1111111

Q35. Sur le tableau du document réponse DR4, Préciser les valeurs des champs ID, DLC et la donnée de la
trame.
Q36. Donner le principe utilisé pour les bits de stuffing.
Q37. Sur le document réponse DR4, Réécrire la trame en respectant le principe du bit de stuffing.
Q38. Sachant que la vitesse du vent est égale 0x001E (écrite sur 2 octets), compléter, sur le document réponse
DR4, la trame de données correspondante sans bits de stuffing.

Barème de notation

Q1 1 point Q9 1 point Q17 1 point Q25 1.5 point Q33 1 point


Q2 1 point Q10 1 point Q18 1 point Q26 1 point Q34 1 point
Q3 1 point Q11 1 point Q19 1 point Q27 1 point Q35 1.5 point
Q4 1 point Q12 1 point Q20 1 point Q28 1.5 point Q36 1 point
Q5 1 point Q13 1 point Q21 1 point Q29 1.5 point Q37 1 point
Q6 1 point Q14 1 point Q22 1 point Q30 1 point Q38 1 point
Q7 1 point Q15 1 point Q23 1 point Q31 1 point
Q8 1 point Q16 1 point Q24 1 point Q32 1 point
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session 2020 - Page

Filière : Systèmes Electroniques – SE - Épreuve : Electronique 12


25
Document réponse DR1 :
Q1. Caractéristique de la génératrice utilisée dans l’éolienne Vestas V90-3000
Type de la génératrice Puissance maximale Tension généré Fréquence de la tension

Q5. Vitesse du vent :

T1 T2 Vitesse des composantes du


Direction du vent
(en µs) (en µs) vent (m/s)

Axe NORD-SUD 576,53 600,42 V1=

Axe EST-OUEST 568,26 609,66 V2=

Q15. VC
10V

2V
t

VD

12V

0 t

BURST_IN

5V

0 t
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session 2020 - Page

Filière : Systèmes Electroniques – SE - Épreuve : Electronique 13


25
Document réponse DR2 :
Q16.
T2CON
- TOUTPS3 TOUTPS2 TOUTPS1 TOUTPS0 TMR2ON T2CKPS1 T2CKPS0

Q18.
CCP2CON
- - CCPxX CCPxY CCPxM3 CCPxM2 CCPxM1 CCPxM0

Q21.
T1CON
- - T1CKPS1 T1CKPS0 T1OSCEN ̅̅̅̅̅̅̅̅̅̅̅
𝐓𝟏𝐒𝐘𝐍𝐂 TMR1CS TMR1ON

Q23.
CCP1CON
- - CCPxX CCPxY CCPxM3 CCPxM2 CCPxM1 CCPxM0

Q24.

int mesure_T(){
int T ;
CCP2CON= …………………………………………………………… ; // activer CCP2 en mode PWM
…………………………………………………………………………………… ; // mise de TMR1L à 0
…………………………………………………………………………………… ; // mise de TMR1H à 0
…………………………………………………………………………………… ; // attente de 200us
CCP2CON= …………………………………………………………… ; // désactiver le module CCP2
while (………………………………………………………………) ; // attente jusqu’à ce que CCP1IF=1
…………………………………………………………………………………… ; // mise à 0 de CCP1IF
…………………………………………………………………………………… ; // T reçoit la valeur de CCPR1
…………………………………………………………………………………… ; // retour de la valeur de T
}
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session 2020 - Page

Filière : Systèmes Electroniques – SE - Épreuve : Electronique 14


25
Document réponse DR3 :
Q25.
A1 A0 BURST_OUT_NORD BURST_OUT_SUD BURST_OUT_OUEST BURST_OUT_EST
0 0 BURST_OUT_PIC 0 0 0
0 1
1 0
1 1

Q27.
library ieee;
use ieee.std_logic_1164.all;
entity DEMUX1_4 is
port(………………………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………………………
BURST_OUT_NORD, BURST_OUT_SUD,
BURST_OUT_OUEST, BURST_OUT_EST : out std_logic
);
end DEMUX1_4;
architecture rtl of DEMUX1_4 is
begin
………………………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………………………

end ………………………………………………………………;

Q28.
library ieee;
use ieee.std_logic_1164.all;
entity MUX4_1 is
port (BURST_IN_SUD, BURST_IN_NORD,
BURST_IN_EST, BURST_IN_OUEST : IN std_logic;
………………………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………………………);
end MUX1_4;
architecture archi_MUX4_1 of MUX4_1 is
begin
………………………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………………………
………………………………………………………………………………………………………………………………………………
end …………………………………………………………;
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session 2020 - Page

Filière : Systèmes Electroniques – SE - Épreuve : Electronique 15


25
Document réponse DR4 :
Q29.
Résolution du CNA
Fréquence max du bus I2C

Q31.
S P

Q32.
void CNA_vitesse(int vitesse){
char a;
I2C_Start() ;
a=I2C_write(…………………………) ;
if (a==0) {
I2C_write(………………………);
I2C_write(………………………);
}
…………………………………………………………
}
Q33.
Tension CANH Tension CANL
Niveau Dominant
Niveau récessif

Q34.
ID DLC Donnée
Binaire
Hexadécimal

Q36.
SOF CRC16 01 1111111

Q37.
SOF CRC16 01 1111111
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session 2020 - Page

Filière : Systèmes Electroniques – SE - Épreuve : Electronique 16


25
ANNEXE 1 : Eolien Vestas V90 3000
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session 2020 - Page

Filière : Systèmes Electroniques – SE - Épreuve : Electronique 17


25
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session 2020 - Page

Filière : Systèmes Electroniques – SE - Épreuve : Electronique 18


25
ANNEXE 2 : Capteur de vitesse et direction du vent

N
W E

S
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session 2020 - Page

Filière : Systèmes Electroniques – SE - Épreuve : Electronique 19


25

Le capteur vent : vitesse et direction.

Calcul de la vitesse et direction du vent.


Sujet de l’Examen National du Brevet de Technicien Supérieur - Session 2020 - Page

Filière : Systèmes Electroniques – SE - Épreuve : Electronique 20


25
ANNEXE 3 : registres de configuration TMR2, TMR1, CCPx du PIC
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session 2020 - Page

Filière : Systèmes Electroniques – SE - Épreuve : Electronique 21


25
ANNEXE 4 :
1. Circuit 74HC238

2. Circuit 74HC238
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session 2020 - Page

Filière : Systèmes Electroniques – SE - Épreuve : Electronique 22


25
ANNEXE 5 :
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session 2020 - Page

Filière : Systèmes Electroniques – SE - Épreuve : Electronique 23


25
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session 2020 - Page

Filière : Systèmes Electroniques – SE - Épreuve : Electronique 24


25
ANNEXE 6 :
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session 2020 - Page

Filière : Systèmes Electroniques – SE - Épreuve : Electronique 25


25

Vous aimerez peut-être aussi