Universidad Nacional Autónoma de México
Facultad de Ingeniería
Lab. Diseño Digital Moderno
Práctica 01
Alumno: Mendoza González Mario
Número de cuenta: 316284828
Grupo: 07
Profesor: Navarrete Hernández Alberto
Semestre: 2024-1
Viernes 01 de septiembre de 2023
Índice
Índice 2
Introducción 2
Desarrollo 3
Actividad 1 3
Actividad 2 3
Resultados 4
Actividad 1 4
Actividad 2 7
Conclusión 9
Bibliografía 10
Introducción
VHDL es un lenguaje de descripción de circuitos electrónicos digitales que utiliza
distintos niveles de abstracción. El significado de las siglas VHDL es VHSIC (Very
High Speed Integrated Circuits) Hardware Description Language. Esto significa
que VHDL permite acelerar el proceso de diseño.
La estructura básica de un programa VHDL se compone de dos partes: la
declaración de las entradas y salidas del circuito y la descripción del
comportamiento del circuito. La declaración de las entradas y salidas se realiza
mediante la palabra clave “entity” y la descripción del comportamiento mediante
la palabra clave "architecture".
En este documento, exploraremos dos actividades simples diseñadas para
ayudarnos a familiarizarnos con el lenguaje VHDL, el software Quartus y la tarjeta
FPGA DE10-Lite.
Desarrollo
Actividad 1
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity decsis is
port (entradas: in std_logic_vector(2 downto 0);
sal_leds: out std_logic_vector(6 downto 0));
end decsis;
architecture Behavioral of decsis is
begin
with entradas select
sal_leds <= "0000001" when "000",
"0000010" when "001",
"0000100" when "010",
"0001000" when "011",
"0010000" when "100",
"0100000" when "101",
"1000000" when "110",
"0000000" when "111";
--"0000000" when others;
end Behavioral;
Actividad 2
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity decsis is
port (entradas: in std_logic_vector(2 downto 0);
sal_leds: out std_logic_vector(6 downto 0));
end decsis;
architecture Behavioral of decsis is
begin
with entradas select
sal_leds <= "0000001" when "000",
"0110001" when "001",
"0110000" when "010",
"0110000" when "011",
"0100100" when "100",
"0100100" when "101",
"0100100" when "110",
"0100100" when "111";
--"0000000" when others;
end Behavioral;
Resultados
Actividad 1
• Primer caso
Entrada Salida
000 0000001
• Segundo caso
Entrada Salida
001 0000010
• Tercer caso
Entrada Salida
010 0000100
• Cuarto caso
Entrada Salida
011 0001000
• Quinto caso
Entrada Salida
100 0010000
• Sexto caso
Entrada Salida
101 0100000
• Séptimo caso
Entrada Salida
110 1000000
• Octavo caso
Entrada Salida
111 0000000
Actividad 2
• Primer caso
Entrada Salida
000 0
• Segundo caso
Entrada Salida
001 C
• Tercer caso
Entrada Salida
010 E
• Cuarto caso
Entrada Salida
011 E
• Quinto caso
Entrada Salida
100 S
• Sexto caso
Entrada Salida
101 S
• Séptimo caso
Entrada Salida
110 S
• Octavo caso
Entrada Salida
111 S
Conclusión
La experiencia resultó sumamente interesante, ya que nunca había tenido la
oportunidad de trabajar con una tarjeta o cualquier dispositivo similar. El proceso
de carga del código en la tarjeta es un proceso realmente entretenido.
Afortunadamente, durante la práctica, no se presentaron errores significativos. Al
principio, la situación resultó un tanto confusa, dado que familiarizarse con
Quartus y los componentes de la tarjeta requería cierto esfuerzo. Sin embargo,
después de un análisis minucioso de las actividades, todo transcurrió de manera
exitosa.
Bibliografía
Universidad Complutense de Madrid. (s.f.). Introducción a la programación en
VHDL. [Link]
Universidad Nacional de La Plata. (s.f.). Capítulo 3 El lenguaje de descripción
de hardware VHDL. [Link]
_El_lenguaje_de_descripción_de_hardware_VHDL.pdf?sequence=5
Docta Complutense. (s.f.). INTRODUCCIÓN A LA PROGRAMACIÓN EN VHDL.
[Link]
2511b9a73861/download