


default search action
APCCAS 2010: Kuala Lumpur, Malaysia
- IEEE Asia Pacific Conference on Circuits and Systems, APCCAS 2010, Kuala Lumpur, Malaysia, December 6-9, 2010. IEEE 2010, ISBN 978-1-4244-7454-7

- Sudhanshu Shekhar Jamuar:

Welcome message. 1 - Nam Ling, Gerald E. Sobelman, P. Raveendran, Pau-Choo Chung:

Technical program co-chairs' message. 1 - Wei-Yuan Chiu, Jiun-Wei Horng, Zhao-Ren Wang:

Versatile high input impedance voltage-mode three-inputs universal biquadratic filter. 1-4 - Vijaya Bhadauria

, Krishna Kant, Swapna Banerjee:
A tunable transconductor with high linearity. 5-8 - Paulo César Crepaldi

, Tales Cleber Pimenta
, Robson L. Moreno
, Edgard C. Rodriguez:
An unconditionally stable Voltage Regulator. 9-12 - Khosrov Dabbagh-Sadeghipour:

A new offset cancelled latch comparator for high-speed, low-power ADCs. 13-16 - Xiao Yang, Yang Zhang, Weiwei Huang, Chaodong Ling:

Low power chopper amplifier without LPF. 17-19 - Afandi Ahmad

, Abbes Amira, Hassan Rabah
, Yves Berviller
:
FPGA-based architectures of finite radon transform for medical image de-noising. 20-23 - Tarn S. Poo, Kenneth Sundaraj

:
Design and development of a low cost EMG signal acquisition system using surface EMG electrode. 24-27 - Xiaoyu Zhang, Hanjun Jiang, Binjie Zhu, Xinkai Chen, Chun Zhang, Zhihua Wang:

A low-power remotely-programmable MCU for implantable medical devices. 28-31 - Tianjia Sun, Xiang Xie, Guolin Li, Yingke Gu, Xiaomeng Li, Zhihua Wang:

A wireless energy link for endoscopy with end-fire helix emitter and Load-Adaptive power converter. 32-35 - Iman Abaspur Kazerouni

, Hadi Goodarzi Dehrizi, Sayed Mohammad Mostafavi Isfahani
, Zhuo Zou, Majid Baghaei Nejad
, Li-Rong Zheng:
A 77 nW bioamplifier with a tunable bandwidth for neural recording systems. 36-39 - Usha Sandeep Mehta

, Kankar S. Dasgupta, Niranjan M. Devashrayee
:
Combining unspecified test data bit filling methods and run length based codes to estimate compression, power and area overhead. 40-43 - Satoshi Uemori, Takahiro J. Yamaguchi, Satoshi Ito, Yohei Tan, Haruo Kobayashi, Nobukazu Takai, Kiichi Niitsu

, Nobuyoshi Ishikawa:
ADC linearity test signal generation algorithm. 44-47 - Diming Ma, Guoyong Shi, Alex Lee:

A design platform for analog device size sensitivity analysis and visualization. 48-51 - Sadok Aouini, Kun Chuai, Gordon W. Roberts:

Jitter generation and capture using phase-domain sigma-delta encoding. 52-55 - Hung-Yen Huang, Yu-Sheng Huang, Chun-Lung Hsu:

Built-in self-test/repair scheme for TSV-based three-dimensional integrated circuits. 56-59 - Duho Kim, Minsu Ko, Kwang-Chun Choi, Woo-Young Choi:

A 4.8-Gb/s mixed-mode CMOS QPSK demodulator for 60-GHz wireless personal area networks. 60-63 - Ramesh K. Pokharel, Youichi Yano, Mahmoud A. Abdelghany, Haruichi Kanaya, Keiji Yoshida:

Design of high linearity low flicker noise 5.2 GHz down-conversion mixer for direct conversion receiver. 64-67 - Mahmoud A. Abdelghany, Ramesh K. Pokharel, Haruichi Kanaya, Keiji Yoshida:

A low flicker noise, highly linear, direct conversion receiver for 5GHz wireless LAN. 68-71 - Atif Jamil, Mohd Zuki Yusoff

, Noorhana Yahya:
An electrically small meander line antenna for wireless applications. 72-75 - Xin Cheng, Haigang Yang, Tongqiang Gao, Fei Liu:

A 47-dB linear CMOS variable gain amplifier using current squaring technique. 76-79 - Herbert De Pauw, Jan Doutreloigne, André Van Calster, Edmond Op de Beeck, Jurgen Content:

Introduction of a pseudo-6th ISDN splitter with bandstop topology. 80-83 - Hanan M. Hassan, Ahmed F. Shalash, Hisham M. Hamed:

Design architecture of generic DFT/DCT 1D and 2D engine controlled by SW instructions. 84-87 - Safdar Rizvi, Asif Aziz, Naufal M. Saad

:
An overview of vertical handoff decision policies for next generation wireless networks. 88-91 - Safdar Rizvi, Asif Aziz, Naufal M. Saad

, Samir Brahim Belhaouari
:
A comparative analysis of integration schemes for UMTS and WLAN networks. 92-95 - Hamideh Rezaee, Ali Aghagolzadeh

, Hadi Seyedarabi
:
Vehicle tracking in multi- sensor networks by fusing data in particle filter framework. 96-99 - Chun-Fu Liao, Li-Wei Chai, Po-Lin Chiu, Yuan-Hao Huang:

Multi-stage lattice-reduction-aided MIMO detector using reverse-order LLL algorithm. 100-103 - Yedukondalu Kamatham

, A. D. Sarma, Ashwani Kumar:
Mitigation of GPS multipath error using recursive least squares adaptive filtering. 104-107 - R. S. N. Kumar Kattamuri, S. K. Sahoo:

Computation sharing multiplier using redundant binary arithmetic. 108-111 - Kevin Fong, Yu-Cheng Hung, Zuow-Zun Chen, Tai-Cheng Lee:

An all-digital de-skew clock generator for arbitrary wide range delay. 112-115 - Gregory Ditzler, James Ethridge, Ravi Prakash Ramachandran, Robi Polikar

:
Fusion methods for boosting performance of speaker identification systems. 116-119 - Yuexian Zou, He Zhao, Hang Shi, Yiyan Wang:

A moving vehicle segmentation method based on clustering of feature points for tracking at urban intersection. 120-123 - Yuan-Teng Chang, Wen-Hao Chung:

A dynamic search range algorithm for H.264/AVC full-search motion estimation. 124-127 - Norashikin Yahya, Nidal S. Kamel, Aamir Saeed Malik:

A subspace approach for restoring image corrupted by white noise. 128-131 - Paul Raymond Nicholl, Afandi Ahmad

, Abbes Amira:
Optimal discrete wavelet transform (DWT) features for face recognition. 132-135 - Zhu Li, Yoichi Tomioka

, Hitoshi Kitazawa:
Motion estimation based on iterative color matching and structure matching. 136-139 - Vinit Jakhetiya, Sunil Prasad Jaiswal, Anil Kumar Tiwari:

A novel predictor coefficient interpolation algorithm for enhancement of spatial resolution of images. 140-143 - Yuan-Teng Chang, Wen-Hao Chung:

A fast and low-cost fractional motion estimation for H.264/AVC HD1080p coding. 144-147 - Ci Wang, Ji Li, Yuwen He:

Lossless interpolation optimization for H.264 decoder. 148-151 - Axel Beaugendre, Hiroyoshi Miyano, Eiki Ishidera, Satoshi Goto:

Human tracking system for automatic video surveillance with particle filters. 152-155 - Vishnu Monn Baskaran, YeongSheng Low, KokSheik Wong

:
Building a real-time multiple H.264 video streaming system based on intel IPP. 156-159 - Yi-Mao Hsiao, Su-Wei Yeh, Jai-Shiarng Chen, Yuan-Sun Chu:

A design of bandwidth adaptive multimedia gateway for scalable video coding. 160-163 - Vishnu Monn Baskaran, KokSheik Wong

:
Audio mixer with Automatic Gain Controller for software based Multipoint Control Unit. 164-167 - Labonnah Farzana Rahman

, Mamun Bin Ibne Reaz
, Mohd. Alauddin Mohd. Ali, Masaru Kamada:
Design of an EEPROM in RFID tag: Employing mapped EPC and IPv6 address. 168-171 - Seokjoong Hwang, Seon Wook Kim, Joon Goo Lee, Dongha Jung, Areum Kim:

Design of ultra low power stream data receiver based on UHF passive RFID tag system. 172-175 - M. A. Shahimi, Zaini Abdul Halim, Widad Ismail:

Development of active RFID system using zigbee standard with non beacon mode. 176-179 - Assim Sagahyroon, Mohammed Eqbal

, Farshad Khamisi:
Drawing on the benefits of RFID and bluetooth technologies. 180-183 - Kin Keung Lee, Malihe Zarre Dooghabadi, Håkon A. Hjortland

, Øivind Næss, Tor Sverre Lande
:
A novel 6.5 pJ/pulse impulse radio pulse generator for RFID tags. 184-187 - Jaegeun Oh, Seon Wook Kim, Taejin Kim:

Design issues and optimization in DisplayPort link layer implementation. 188-191 - S. L. Mah, P. K. Chan, Shiv Kumar Mishra:

A precision low-power mismatch-compensated sample-and-hold circuit for biomedical applications. 192-195 - Tomohiko Ogawa, Tatsuji Matsuura, Haruo Kobayashi, Nobukazu Takai, Masao Hotta, Hao San

, Akira Abe, Katsuyoshi Yagi, Toshihiko Mori:
Non-binary SAR ADC with digital error correction for low power applications. 196-199 - Takuya Yagi, Kunihiko Usui, Tatsuji Matsuura, Satoshi Uemori, Yohei Tan, Satoshi Ito, Haruo Kobayashi:

Background calibration algorithm for pipelined ADC with open-loop residue amplifier using split ADC structure. 200-203 - Mahesh Kumar Adimulam, Krishna Kumar Movva, Sreehari Veeramachaneni

, N. Moorthy Muthukrishnan, Mandalika B. Srinivas:
Low power, variable resolution pipelined analog to Digital converter with sub flash architecture. 204-207 - Li Ding, Sai-Weng Sin

, Seng-Pan U., Rui Paulo Martins
:
An efficient DAC and interstage gain error calibration technique for multi-bit pipelined ADCs. 208-211 - Prashant Garg, Neeraj Chasta, Mohit Maheshwari, Dipankar Nagchoudhuri:

Optimizing APT product in MBFA topologies. 212-215 - Sarang Kazeminia

, Morteza Mousazadeh, Khayrollah Hadidi, Abdollah Khoei
:
High-speed low-power Single-Stage latched-comparator with improved gain and kickback noise rejection. 216-219 - Ming-Yam Lo, Wing-Hung Ki

, Wai Ho Mow
:
A 25MHz sign and magnitude converter for analog current mode iterative decoders. 220-223 - Mohammad Soleimani, Abdollah Khoei

, Khayrollah Hadidi:
Current-mode analog CMOS Fuzzy Logic Controller. 224-227 - Morteza Mousazadeh, Khayrollah Hadidi, Abdollah Khoei

:
A highly linear open-loop high-speed CMOS sample-and-hold. 228-231 - Abul Hasan Johari, Satoshi Kumaki, Takeshi Matsubara, Isamu Hayashi, Hiroki Ishikuro:

0.5 V multi-phase digital controlled oscillator with smooth phase transition circuit. 232-235 - Sayed Mohammad Mostafavi Isfahani

, Iman Abaspur Kazerouni
, Zhuo Zou, Majid Baghaei Nejad
, Li-Rong Zheng:
An ultra-low power multi-tunable triangle wave generator with frequency and amplitude control. 236-239 - Balapradeep Gadamsetti, Adit D. Singh:

Current Sensing Completion Detection for high speed and area efficient arithmetic. 240-243 - Jayang Yoon, Seok Lee, Jaehoon Kim, Namjin Song, Jinseok Koh, Joongho Choi:

Low-noise amplifier path for ultrasound system applications. 244-247 - Woojae Lee, Min-Chang Cho, SeongHwan Cho:

CMRR enhancement technique for IA using three IAs for bio-medical sensor applications. 248-251 - Fei Liu, S. M. Arifuzzaman, Anis Nurashikin Nordin, David Spray

, Ioana Voiculescu:
Characterization of endothelial cells using electrochemical impedance spectroscopy. 252-255 - Mohammad Fawaz, Nader Kobrosli, Ali Chehab

, Ayman I. Kayssi:
Testing techniques for resistive-open defects in future CMOS technologies. 256-259 - Qi Li, Tony T. Kim:

A 9T subthreshold SRAM bitcell with data-independent bitline leakage for improved bitline swing and variation tolerance. 260-263 - Fawnizu Azmadi Hussin

, Thomas Edison Yu, Tomokazu Yoneda, Hideo Fujiwara:
RedSOCs-3D: Thermal-safe test scheduling for 3D-stacked SOC. 264-267 - Goutam Mali, Suman Das, Hafizur Rahaman

, Chandan Giri
:
Non-preemptive test scheduling for Network-on-Chip(NoC) based systems by reusing NoC as TAM. 268-271 - Farhad Sheikhhosseini, Abdolreza Nabavi:

A 120dB all CMOS variable gain amplifier based on new exponential equation. 272-275 - Ki-Jin Kim, T. H. Lim, Kwang-Ho Ahn:

The transformer coupled mm-Wave CMOS Power Amplifier. 276-279 - Sambit Datta, Kunal Datta, Ashudeb Dutta, Tarun Kanti Bhattacharyya

:
A concurrent low-area dual band 0.9/2.4 GHz LNA in 0.13µm RF CMOS technology for multi-band wireless receiver. 280-283 - Md Jasim Uddin

, Anis Nurashikin Nordin, Muhammad I. Ibrahimy
, Mamun Bin Ibne Reaz
:
Design and simulation of a lumped element metal finger capacitor for RF-CMOS power splitters. 284-287 - Jens Masuch, Manuel Delgado-Restituto

, Ángel Rodríguez-Vázquez
:
Transformer based front-end for a low power 2.4 GHz transceiver. 288-291 - Norlaili M. Noh, Awatif Hashim, Kean Yeong Tan, Yong Yeap Tan:

Design and analysis of the Current Reuse Technique and Folded Cascode Power Constrained Simultaneous Noise and Input Matching LNAs with distributed and lumped parasitic. 292-295 - Emna Amouri, Zied Marrakchi, Habib Mehrez:

Controlled placement and routing techniques to improve timing balance of WDDL designs in Mesh-based FPGA. 296-299 - I-Jui Tung, Kam-Tou Sio, Chin-Hung Peng, Feipei Lai:

A SystemC content addressable memory power estimation tool for early design verification. 300-303 - Kam-Tou Sio, Feipei Lai, Chin-Hung Peng:

CAM puzzle: A power model and function-based circuit segment method of Content Addressable Memory. 304-307 - Zied Marrakchi, Husain Parvez, Alp Kiliç, Habib Mehrez, Hmaied Marrakchi:

On the optimization of FPGA area depending on target applications. 308-311 - Antonio Gortan, Ricardo P. Jasinski, Walter Godoy Jr., Volnei A. Pedroni:

Achieving near-MLD performance with soft information-set decoders implemented in FPGAs. 312-315 - Jin-Hyoung Park, Ji-Seop Song, Shin-Il Lim, Suki Kim:

A high speed and low power 4∶1 multiplexer with cascoded clock control. 316-319 - Ron-Chi Kuo, Hsiao-Han Hou, Chua-Chin Wang:

A PCI166-compatible 3×VDD-tolerant mixed-voltage I/O buffer. 320-323 - Ko-Chi Kuo, Sheng-Quane Chen:

Low power level shifter and combined with logic gates. 324-327 - Reza Kayvan Shokouh, Mohammad Alaee-Kerahroodi

, Majid Okhovvat, Reza Amiri:
Ambiguity function of non-stationary signals using wavelet transform. 328-331 - Joshua Yung Lih Low, Ching-Chuen Jong

:
High accuracy binary logarithmic conversion using range mapping for DSP applications. 332-335 - Tianruo Zhang, Minghui Wang, Chen Liu, Satoshi Goto:

Complexity reduction algorithm for region-of-interest based H.264 encoding. 336-339 - X. Z. Yao, S. C. Chan, Zhenyu Zhu, King To Ng, Heung-Yeung Shum:

Image-based compression, prioritized transmission and progressive rendering of circular light fields (CLFS) for ancient Chinese artifacts. 340-343 - Wan Noorshahida Mohd Isa

, Md. Jahangir Alam, Chikkannan Eswaran:
Gait recognition using occluded data. 344-347 - Oon-Ee Ng, Velappa Ganapathy, S. G. Ponnambalam

:
Connected-component stereo aggregation. 348-351 - Jeich Mar, Chi-Cheng Kuo, Shih-Hao Chou:

SDR structure based CFO estimation and compensation circuit for OFDM systems using reconfigurable CORDIC FPGA modules. 352-355 - Hassan Sepehrian, Masoud Rezaei, Sasan Naseh:

A CMOS Synthesizer using a new scheme of injection locking of VCOs. 356-358 - Muhammad Assaqafi Mohd Fisol, Warsuzarina Mat Jubadi:

Ultrasonic and infrared repelling device for controlling the population of rat in paddy field. 359-361 - Lanlan He, Shaodan Ma, Yik-Chung Wu

, Tung-Sang Ng:
IQ imbalance compensation: A semi-blind method for OFDM systems in fast fading channels. 362-365 - Helmi Chaouech, Ridha Bouallegue

:
Channel estimation and detection for multibeam satellite communications. 366-369 - Ryota Kubokawa, Takashi Ohshima, Abhishek Tomar, Ramesh K. Pokharel, Haruichi Kanaya, Keiji Yoshida:

Development of low power DAC with pseudo Fibonacci sequence. 370-373 - Tomohiko Ogawa, Haruo Kobayashi, Yohei Tan, Satoshi Ito, Satoshi Uemori, Nobukazu Takai, Kiichi Niitsu

, Takahiro J. Yamaguchi, Tatsuji Matsuura, Nobuyoshi Ishikawa:
SAR ADC that is configurable to optimize yield. 374-377 - Soon-Ik Cho, Shin-Il Lim, Suki Kim:

A 10-bit 1.25GSample/s partially-segmented D/A Converter for Ultra Wide-Band communication system. 378-381 - Guanzhong Huang, Pingfen Lin:

A fast bootstrapped switch for high-speed high-resolution A/D converter. 382-385 - Taeho Lim, K. J. Kim, K. H. Ahn, Jin-Sup Kim:

Weighted Capacitor Digital-to-Analog Converter adopting extensive charge sharing scheme. 386-389 - Jinha Choi, Jeyun Yu, Jaeseok Kim:

Highly optimized intra prediction architecture for high resolution application. 390-393 - Hoyoung Chang, Kyeongsoon Cho:

High-performance inverse transform circuit based on butterfly architecture for H.264 high profile decoder. 394-397 - Hee Kwan Eun, Shin Wang Ho, Myung Hoon Sunwoo:

Sub-block combination fractional motion estimation algorithms for H.264/AVC. 398-401 - Minsu Choi, Jinsang Kim, Won-Kyung Cho:

Multi-view video prediction architecture using faster prediction order. 402-405 - Seonyoung Lee, Haengseon Son, Kyungwon Min

:
Implementation of lane detection system using optimized hough transform circuit. 406-409 - Hyeon-Cheol Yang, Seongsoo Lee:

Adaptive search order determination for effective early termination in the N-step search algorithm. 410-413 - Xinmiao Zhang, Fang Cai:

Reduced-latency scheduling scheme for min-max non-binary LDPC decoding. 414-417 - Pui-wai Chan, Chiu-sing Choy:

Performance evaluation of OFDM de-modulator with various multiplier architectures for UWB system. 418-421 - Jie Yang, Gerald E. Sobelman:

Sparse LMS with segment zero attractors for adaptive estimation of sparse signals. 422-425 - Shuang Zhao, Xiaofang Zhou, Fanglong Ying, Gerald E. Sobelman:

Memory size reduction for LDPC layered decoders. 426-429 - Pengjun Wang, Fanglong Yi:

Design of turbo decoder based on Min-Sum decoding algorithm of LDPC code. 430-433 - Xiaofang Zhou, Jinyuan Zhou, Linkai Wang, Chao Lu, Gerald E. Sobelman:

Novel and flexible Complex Coefficient Linear phase IIR filters for communications. 434-437 - Shuenn-Yuh Lee, Chung-Min Yang, Cheng-Han Hsieh, John Q. Fang:

A wireless front-end for implantable cardiac micro-stimulator. 438-441 - Yung-Hoh Sheu, Yu-Ping Dai, Duen-Shiang Fu:

Embedded USB homecare internet system. 442-445 - Chia-Fu Chang, Yung-Chan Chen, Hsin Chen:

A neuromorphic microsystem on glass for monitoring fly behaviours automatically. 446-449 - Chien-Yue Chen, Jin-Run Chen, Min-Da Ke, Chien-Hsun Huang, Ya-Hsin Hsueh, Cheng-Deng Kuo

:
Treatment effect of acupuncture and electric stimulation on chronic constipation patients. 450-452 - Chien-Yue Chen, Min-Da Ke, Ching-Huang Lin, Hsien-Lin Tsai, Cheng-Deng Kuo

:
The study of effects of acupuncture at SJ9 (Sidu) point on heart rate variability. 453-455 - Wen-Fong Wang, Nan-Tong Wang, Sheng-Jia Shih:

Design of a health management system with physiological signal acquisition. 456-459 - Kenji Takahashi, Hajime Yokoo, Shyunsuke Miwa, Kengo Tsushida, Hiroyuki Iwase, Kazuki Murakami, Nobukazu Takai, Haruo Kobayashi, Takahiro Odaguchi, Shigeki Takayama, Isao Fukai, Jun-Ichi Matsuda:

Single inductor DC-DC converter with bipolar outputs using charge pump. 460-463 - Shoko Sugimoto, Masahiro Suzuki, Yasuhiro Sugimoto:

Accurate, high-speed simulation of transient response and frequency characteristics of switching converters. 464-467 - Man Pun Chan

, Philip K. T. Mok
:
A monolithic 2nd-order boundary controller for buck converter with fast transient response. 468-471 - Chenchang Zhan, Wing-Hung Ki

:
An output-capacitor-free cascode low-dropout regulator with low quiescent current and high power supply rejection. 472-475 - Seiya Abe, Mariko Ogawa, Toshiyuki Zaitsu, Satoshi Obata, Masahito Shoyama, Tamotsu Ninomiya:

Investigation of parameter tolerance on digitally controlled DC-DC converter with pole-zero-cancellation technique. 476-479 - Seok Lee, Jayang Yoon, Jaehoon Kim, Changsuk Lee, Hawoong Jung, Jaeshin Lee, Joongho Choi:

High-capacity DC-DC converters for active matrix OLED display. 480-483 - Po-Hsiang Lan, Chun-Yen Tseng, Po-Chiun Huang:

Design of a process-insensitive digital controller for high-frequency DC-DC SMPS. 484-487 - Xiaoyu Zhang, Hanjun Jiang, Chun Zhang, Zhihua Wang:

A high-energy-efficiency link scheme for closed-loop medical monitoring and intervention. 488-491 - Nyambayar Baatar

, Namjae Kim, Hyojong Kim, Shiho Kim:
A dual-rail voltage supply for battery powered portable devices. 492-495 - Man Keun Kang, Je Hyeok Oh

, Tae Wook Kim
:
Chest compression depth measurement using IRUWB for CPR (cardiopulmonary resuscitation). 496-499 - Dong Seok Kim, Jungmoon Kim

, Jihwan Kim, Chulwoo Kim:
An on-chip soft-start technique of current-mode DC-DC converter for biomedical applications. 500-503 - Hyungwoo Lee, Taehwan Roh, Joonsung Bae

, Hoi-Jun Yoo:
A 60µW 10Mb/s fully digital FSK demodulator for power-jitter efficient medical BAN. 504-507 - Sunjoo Hong, Kiseok Song, Long Yan, Hoi-Jun Yoo:

A combined method to reduce motion artifact and power line interference for wearable healthcare systems. 508-511 - Shengxi Diao, Yuanjin Zheng, Yuan Gao

, Chun-Huat Heng
, Minkyu Je:
A 7.2mW 15Mbps ASK CMOS transmitter for ingestible capsule endoscopy. 512-515 - Taewook Chung, Chulho Chung, Jinha Choi, Jaeseok Kim:

Dynamic MAS reallocation module for WiMedia MAC. 516-519 - Jong-Yeol Lee, Won-Yong Yang:

Embedded compiler optimization for communication applications. 520-523 - Yihu Xu, Chung-Hoon Lee, Myoung-Seob Lim:

Design of split-radix FFT pruning for OFDM based cognitive radio system. 524-527 - Jae-Kyung Lee, Chang-Ha Jeon, In-Gul Jang, Jin-Gyun Chung:

Weighted interpolation using supplementary filter. 528-531 - Eun Ji Kim, Myung Hun Lee, Myung Hoon Sunwoo:

Power efficient column operation-based message-passing schedule for regular ldpc decoder. 532-535 - Vasilis F. Pavlidis, Hu Xu, Ioannis Tsioutsios, Giovanni De Micheli:

Synchronization and power integrity issues in 3-D ICs. 536-539 - Jing Xie, Jishen Zhao, Xiangyu Dong, Yuan Xie:

Architectural benefits and design challenges for three-dimensional integrated circuits. 540-543 - Erik Jan Marinissen

:
Challenges in testing TSV-based 3D stacked ICs: Test flows, test contents, and test access. 544-547 - Carlotta Guiducci, Yuksel Temiz, Yusuf Leblebici, Enrico Accastelli, Anna Ferretti, Giulia Cappi, Elena Bianchi:

Integrating bio-sensing functions on CMOS chips. 548-551 - Cheng-Hong Jiang, Chih-Hung Kuo:

A two-pass video encoder by fixed lagrange multipliers. 552-555 - Yin-Tsung Hwang, Chen-Cheng Lin, Ming-Wei Lyu:

Design and implementation of a low complexity lossless video codec. 556-559 - Ming-Hwa Sheu, Shyue-Wen Yang, Wen-Sheng Huang, Siang-Min Siao:

FPGA implementation for image object detection system on NoCs. 560-563 - Bing-Tsung Wu, Jiun-In Guo:

Low compute complexity BU-based rate control algorithm for H.264/AVC encoder. 564-567 - Li-Juo Lin, Kuei-Chun Liu, Tse-Min Chen, Wen-Shan Wang:

Data partition analyses for video decoders on PAC Duo platform. 568-571 - Tsung-Han Tsai, Hsueh-Yi Lin, Hong-Guang Chen:

Overlapped block-based adaptive bilateral motion estimation. 572-575 - Ashis Kumar Mal, Om Prakash Hari, Rishi Todani, Anindya Sundar Dhar:

Design of DXT architecture using current switched integrator. 576-579 - Rockey Gupta, Susheel Sharma, Sudhanshu S. Jamuar:

A low voltage current mirror based on quasi-floating gate MOSFETs. 580-583 - Prateek Vajpayee, Anurag Srivastava, Sher S. Rajput, G. K. Sharma:

Low voltage regulated cascode current mirrors suitable for sub-1V operation. 584-587 - Ru Liu, Song Chen

, Takeshi Yoshimura:
Post-scheduling frequency assignment for energy-efficient high-level synthesis. 588-591 - Syed Mustafa Khelat Bari, Didar Islam, Khondker Zakir Ahmed:

Implementation of highly accurate NMOS Vt based clamping technique in low current comparator. 592-595 - Mhd Saeed Sharif, Maysam F. Abbod

, Abbes Amira, Habib Zaidi:
Novel hybrid approach combining ANN and MRA for PET volume segmentation. 596-599 - Zahra Zare, Ahmad Hakimi, Farhad Sheikhhosseini, Masoud Movahhedi:

Preamplifier effect on the performance of distributed active mixer. 600-603 - Shadi AlZu'bi

, Naveed Islam, Maysam F. Abbod:
3D Multiresolution Analysis for reduced features segmentation of medical volumes using PCA. 604-607 - Darwin Gouwanda

, S. M. N. Arosha Senanayake
:
Identification of gait asymmetry using wireless gyroscopes. 608-611 - Aruni U. Alahakone, S. M. N. Arosha Senanayake

, Chathuri M. Senanayake:
Smart wearable device for real time gait event detection during running. 612-615 - Zhen Lin, Yiping Dong, Yan Li, Takahiro Watanabe:

A hybrid architecture for efficient FPGA-based implementation of multilayer neural network. 616-619 - Mohamad Mostafa, Werner G. Teich, Jürgen Lindner:

A modified discrete recurrent neural network as vector detector. 620-623 - Manoj Banik, Mohammed Rokibul Alam Kotwal, Foyzul Hassan, Gazi Md. Moshfiqul Islam, Sharif Mohammad Musfiqur Rahman, Mohammad Mahedi Hasan, Ghulam Muhammad

, Mohammad Nurul Huda:
Effect of articulatory Δ and ΔΔ parameters on multilayer neural network based speech recognition. 624-627 - Jaeseong Kim, Shingo Yoshizawa, Yoshikazu Miyanaga

:
Dynamic wordlength calibration to reduce power dissipation in wireless OFDM systems. 628-631 - Rajan S. Rashobh, Andy W. H. Khong, Patrick A. Naylor

:
Adaptive blind system identification for speech dereverberation using a priori estimates. 632-635 - Hui Zhang, Haigang Yang, Fei Liu, Yuan-feng Wei, Jia Zhang:

Start-up analysis for differential ring oscillator with even number of stages. 636-639 - Jai Narayan Tripathi

, Jayanta Mukherjee, Prakash R. Apte:
Designing Asymmetric 2.4 GHz RF Oscillator for improving Signal Integrity by Design of Experiments. 640-643 - Yi Xu, Shuming Chen:

PPTWO: Push-Pull cell based Traveling Wave Oscillator. 644-647 - Bo-Wei Chen, Jen-Peng Wang, Chia-Ming Tsai:

A 3-GHz, 22-ps/dec dynamic comparator using negative resistance combined with input pair. 648-651 - Zhang Zhang, Qingqing Yang, Lingkai Wang, Xiaofang Zhou:

A novel hybrid Matched Filter structure for IEEE 802.22 standard. 652-655 - Shih-Hao Fang, Ju-Ya Chen, Ming-Der Shieh, Jing-Shiun Lin:

A signal permutation method for cyclic-prefix-free OFDM channel estimation. 656-659 - Yuan-Ta Hsieh, Bin-Da Liu, Jian-Fu Wu, Chiao-Li Fang, Hann-Huei Tsai, Ying-Zong Juang:

A high efficiency boost white LED driver with an integrated Schottky diode. 660-663 - Ron-Chi Kuo, Tung-Han Tsai, Yi-Jie Hsieh, Chua-Chin Wang:

A high precision low dropout regulator with nested feedback loops. 664-667 - Helder R. Florentino, Raimundo C. S. Freire

, Caio Florentino:
Optimization of control switch for energy harvest circuit using electrostatic charges. 668-671 - Cai-Yang Ko, Tsorng-Juu Liang, Kai-Hui Chen, Jiann-Fuh Chen:

Design and analysis of an interleave controlled series buck converter with low load current ripple. 672-675 - Muhammad Mohsiul Haque, M. J. Alam

:
Impact of capacitors' leakage current dispersion and a simple approach to improve. 676-679 - Hirak Patangia, Sri Nikhil Gupta Gourisetti, Afzal Siddiqui, Sachin Sharma

:
A simplified PV model for low power MPPT controller design. 680-683 - Mehdi Bekrani, Mojtaba Lotfizad, Andy W. H. Khong:

An efficient quasi LMS/Newton adaptive algorithm for stereophonic acoustic echo cancellation. 684-687 - Kai-Ti Hu, Yu-Ting Pai, Shanq-Jang Ruan, Edwin Naroska:

A hardware-efficient color segmentation algorithm for face detection. 688-691 - Cheng-Wen Wei, Cheng-Chun Tsai, Tian-Sheuan Chang

, Shyh-Jye Jou:
Perceptual multiband spectral subtraction for noise reduction in hearing aids. 692-695 - S. C. Chan, Y. J. Chu, Z. G. Zhang:

A new regularized transform-domain NLMS adaptive filtering algorithm. 696-699 - Shun-Wen Cheng:

Over complementary MOS logic for don't care conditions. 700-703 - Anh-Tuan Do, Kiat Seng Yeo

, Jeremy Yung Shern Low, Joshua Yung Lih Low, Zhi-Hui Kong:
An 8T SRAM cell with column-based dynamic supply voltage for bit-interleaving. 704-707 - Anh-Tuan Do, Shoushun Chen, Zhi-Hui Kong, Kiat Seng Yeo

:
Low IR drop and low power parallel CAM design using gated power transistor technique. 708-711 - Seungju Lee, Masao Yanagisawa, Tatsuo Ohtsuki, Nozomu Togawa

:
BusMesh NoC: A novel NoC architecture comprised of bus-based connection and global mesh routers. 712-715 - Nennie Farina Mahat, Lam Kien Sieng, Muhamad Khairol Ab Rani:

Asynchronous multi-channel ADC and DSP processor interface. 716-719 - Kang Zhao, Jinian Bian:

Peeling algorithm for custom instruction identification. 720-723 - Chandrika Jena, Tim Mason, Tom Chen:

On power and performance tradeoff of L2 cache compression. 724-727 - Montree Kumngern

:
Current-mode multiphase sinusoidal oscillator using current-controlled current differencing transconductance amplifiers. 728-731 - Sahar Biazar Ghadikolaei, Majid Tayarani:

New design for output power improvement of a 20GHz push-push FET DRO. 732-735 - Tso-Bing Juang, Pramod Kumar Meher, Chung-Chun Kuan:

Area-efficient parallel-prefix Ling adders. 736-739 - Montree Kumngern

, Somyot Junnapiya:
A sinusoidal oscillator using translinear current conveyors. 740-743 - Chenchen Deng, Steve Collins:

Sensitivity enhancement using a nonlinear resonator. 744-747 - Vijaya Sankara Rao Pasupureddi, Pradip Mandal:

Current-mode echo cancellation for full-duplex chip-to-chip data communication. 748-751 - Kisun Jung, Hanho Lee:

Low-cost variable-length FFT processor for DVB-T/H applications. 752-755 - Keanhong Boey, Yingxi Lu, Máire O'Neill, Roger F. Woods

:
Random clock against differential power analysis. 756-759 - Ting-Yuan Chen, Yi-Hsien Lin, Chih-Feng Wu, Chorng-Kuang Wang:

Design and analysis of cost-efficient IFFT/FFT processor chip for wireless OFDM systems. 760-763 - Taimur Gibran R. Kuntz

, Saeid Nooshabadi:
An energy-efficient successive approximation register analog to digital converter in 180nm. 764-767 - Xiaoxiao Li, Alex Lee:

An FPGA implemented 24-bit audio DAC with 1-bit sigma-delta modulator. 768-771 - Meghana Kulkarni, V. Sridhar, Gururaj H. Kulkarni:

4-bit Flash Analog to Digital Converter design using CMOS-LTE Comparator. 772-775 - Yoon Hwee Leow, Liter Siek

:
A high speed tracking quantizer for Continuous-Time multi-bit sigma delta modulators. 776-779 - Howard Tang

, Liter Siek
:
Analog-to-Digital Converter with energy recovery capability using adiabatic technique. 780-783 - Apiradee Yodtean, Pasin Isarasena, Apinunt Thanachayanont:

0.8-µW CMOS bulk-driven linear operational transconductance amplifier in 0.35-µm technology. 784-787 - Kaimin Zhou, Ziqiang Wang, Chun Zhang, Zhihua Wang:

Fully-differential low-offset interface for capacitive sensors. 788-791 - Haesick Sul, Young-Hyun Jun, Bai-Sun Kong:

A temperature-stabilized voltage reference utilizing MOS body effect. 792-795 - Tyrone Lucius Fernando

, Les S. Jennings, Hieu Minh Trinh
:
Numerical implementation of a Functional Observability algorithm: A Singular Value Decomposition Approach. 796-799 - Dalibor Biolek

, Viera Biolková, Zdenek Kolka:
Mutators simulating memcapacitors and meminductors. 800-803 - R. Mohamad Rozi, Mohd. Alauddin Mohd. Ali, Mamun Bin Ibne Reaz

:
Effects of exercise on the second derivative photoplethysmography(PPG) waveform. 804-807 - H. N. A. Salwa, Kenneth Sundaraj

, Abdullah Azian Azamimi
, Mohammed M. D. Zulkali:
The application of ultrasonic transducer in bacteria growth monitoring system. 808-811 - Abdullah K. Khan, Tatsuya Onoue, Kenji Hashiodani, Yohei Fukumizu, Hironori Yamauchi:

Signal and noise separation in medical diagnostic system based on independent component analysis. 812-815 - Irni Hamiza Hamzah

, Asrulnizam Bin Abd Manaf
, Othman Sidek:
A study on characteristic and reliability of fabricated microfluidic three electrodes sensor based on randle-sevcik equation. 816-819 - Abdelaziz Yousif Ahmed

, John Ojur Dennis
, Mohamad Naufal Mohamad Saad
:
Design, simulation, modeling and characterization of micromachined microcantilever using coventorware software. 820-823 - Prasenjit Ray, V. Ramgopal Rao

, Prakash R. Apte:
A 8-resistor SU-8 accelerometer with reduced cross axis sensitivity. 824-826 - Farooq Ahmad, John Ojur Dennis

, Nor Hisham Hamid, Mohd Haris M. Khir, Abdelaziz Yousif Ahmed
:
Design and modeling of MEMS resonator for magnetic field sensing using hybrid actuation technique. 827-830 - Parvin Bahmanyar, Khalil Mafinezhad, Mostafa Bahmanyar:

Switching performance analysis in RF MEMS capacitive shunt switches by geometric parameters trade-offs. 831-834 - Ko-Chi Kuo, Jia-Wei Guo, Yu-Hao Ou:

A fully digital modulator/demodulator for Power Line Communication (PLC). 835-838 - Mahyar Nirouei

, Soheil Ziabakhsh, Hosein Alavi-Rad
, Saman Ziabakhsh:
A high conversion gain, low noise figure RF-CMOS receiver front-end IC for 2.4-GHz applications. 839-842 - Di Zhu, Jiacheng Wang, Chaoli Zhong, Jie Tian, Yiming Tang, Peiyuan Wan, Ping Lin:

A High Linearity 6th-order active R-MOSFET-C band-pass filter for power-line communication. 843-846 - Prabhat Chandra Shrivastava, Rupesh Kumar, Arvind Kumar, Sanjeev Rai:

High-speed and low power unified dual-field multiplier in GF (P) and GF (2m). 847-850 - Milad Ataei, Mohsen Tamaddon

, Abumoslem Jannesari:
A low-power sub-threshold CMOS continuous-time active-filter with reduced in-band noise for WiMAX applications. 851-854 - Ali Shirzad Nilsaz, Mohsen Khani Parashkoh, Hossain Ghauomy-zadeh, Zhuo Zou, Majid Baghaei Nejad

, Li-Rong Zheng:
Low power 0.18µm CMOS ultra wideband inductor-less LNA design for UWB receiver. 855-858 - Mohamed Shaaban

:
Allocation of network MW flows to bilateral transactions. 859-862 - Khondker Zakir Ahmed, Muhammad Shahidul Islam, Syed Mustafa Khelat Bari, Mohammad Riazur Rahman Mazumder, A. B. M. Harun-ur Rashid:

Design of a linearly increasing inrush current limit circuit for DC-DC boost regulators. 863-866 - Ghulam Abbas

, Nacer Abouchi
, Gaël Pillonnet
:
Optimal state-space controller for power switching converter. 867-870 - Sang-Keun Han, KeeChan Park

, Bai-Sun Kong, Young-Hyun Jun:
High-speed low-power bootstrapped level converter for dual supply systems. 871-874 - Peng Ouyang, Shouyi Yin, Leibo Liu

, Shaojun Wei:
Battery aware tasks allocating algorithm for multi-battery operated system. 875-878 - Ming-Hung Chang, Jung-Yi Wu, Wei-Chih Hsieh, Shang-Yuan Lin, You-Wei Liang, Wei Hwang:

High efficiency power management system for solar energy harvesting applications. 879-882 - Mohammad Mahedi Hasan, Foyzul Hassan, Gazi Md. Moshfiqul Islam, Manoj Banik, Mohammed Rokibul Alam Kotwal, Sharif Mohammad Musfiqur Rahman, Ghulam Muhammad

, Mohammad Nurul Huda:
Bangla triphone HMM based word recognition. 883-886 - Keisuke Inoue, Mineo Kaneko:

Optimal register assignment with minimum-delay compensation for latch-based design. 887-890 - Renshi Li, Vinod V. Reddy

, Andy W. H. Khong:
Quadratic phase coupling analysis for infrasound vehicle detection. 891-894 - Fatemeh Taherian, Davud Asemani:

Design and implementation of digital image processing techniques in pulse-domain. 895-898 - Hamideh Rezaee, Ali Aghagolzadeh

, Hadi Seyedarabi
:
Vehicle tracking by fusing multiple cues in structured environments using particle filter. 1001-1004 - Der-Wei Yang, Ming-Der Shieh, Wen-Hsuen Kuo, Jonas Wang:

Efficient protocol converter generation for system integration. 903-906 - Chun-Lung Hsu, Ching-Fen Wu:

High-performance 3D-SRAM architecture design. 907-910 - Yang Hu, Shouyi Yin, Leibo Liu

, Shaojun Wei:
Mixed-level modeling for network on chip infrastructure in SoC design. 911-914 - Chi-Chia Sun

, Ce Zhang, Jürgen Götze:
A configurable IP core for inverse quantized discrete cosine and integer transforms with arbitrary accuracy. 915-918 - Kuan-Ju Chen, Chin-Hung Peng, Feipei Lai:

Star-type architecture with low transmission latency for a 2D mesh NOC. 919-922 - Volnei A. Pedroni, Ricardo P. Jasinski, Ricardo U. Pedroni:

Panning sorter: A minimal-size architecture for hardware implementation of 2D Data Sorting Coprocessors. 923-926 - Seyed Ebrahim Esmaeili

, Asim J. Al-Khalili, Glenn E. R. Cowan:
Estimating required driver strength in the resonant clock generator. 927-930 - Siti Maisurah Mohd Hassan

, Emran F. Nazif, M. Norman Fadhil Idham, A. I. Abdul Rahim, Y. Mohamed Razman:
A low phase noise and large tuning range 2.4GHz LC voltage-controlled oscillator. 931-934 - Sandeep Saini

, Anurag Mahajan
, Srinivas B. Mandalika:
Implementation of low power FFT structure using a method based on conditionally coded blocks. 935-938 - Yutao Liu, Ni Xu, Woogeun Rhee

, Ziqiang Wang, Zhihua Wang:
Power and jitter optimized VCO design using an on-chip supply noise monitoring circuit. 939-942 - Pao-Lung Chen, Tzu-Siang Wang, Jyun-Han Ciou:

A multiphase all-digital delay-locked loop with reuse SAR. 943-946 - Manohiaina Ranaivoniarivo, Sidina Wane, Elodie Richalot, Odile Picon:

A system-level non-linear behavioral modeling of pulling and pushing mechanisms in PLLs. 947-950 - Zdenek Kolka, Dalibor Biolek

, Viera Biolková, Martin Horák:
Implementation of topological circuit reduction. 951-954 - Pei-Yun Tsai, Ze-Mu Chang, Zheng-Yu Huang, Wen-Ji Jau:

Design and evaluation of a 4×4 MIMO-OFDM transceiver for gigabit indoor wireless communications. 955-958 - Vijaya Sankara Rao Pasupureddi, Pradip Mandal:

A new power efficient current-mode 4-PAM transmitter interface for off-chip interconnect. 959-962 - Lu Liang, Zhang Chun, Wang Jing Chao:

A digital IF based UHF RFID reader transmitter. 963-966 - Hammad M. Cheema

, Reza Mahmoudi, Arthur H. M. van Roermund:
A 40-GHz phase-locked loop front-end for 60-GHz transceivers in 65nm CMOS. 967-970 - Hamed Shahidipour, Yue Zhong, Arash Ahmadi

, Koushik Maharatna
:
Effects of CNT diameter variability on a CNFET-based SRAM. 971-974 - Changnoh Yoon, Youngmin Cho, Jinsang Kim, Won-Kyung Cho:

Efficient DFV-aware flip-flops. 975-978 - Suhaila Isaak

, Mark C. Pitter, Steve Bull
, Ian Harrison:
Design and characterisation of 16×1 parallel outputs SPAD array in 0.18 um CMOS technology. 979-982 - Sameh Ebrahim Rehan:

An ANN Majority Logic Gate (MLG) using Single Electron Nano-Devices. 983-986 - Mohd Azlishah Othman

, Ian Harrison:
Continuous wave (CW) sub-Terahertz (sub-THz) detection by Plasma Wave in High Electron Mobility Transistor (HEMT). 987-990 - Meng Yeem Kah, Yann Fong Day, Jun Koh Wei:

A distributed framework to improve high-performance IP. 991-994 - Jong Kiun Kiet, Tan Jun Pin, Ang Boon Jin:

A fast CRAM SEU error detection scheme for FPGAs. 995-998 - Lai Chen Leong, See Hour Ying, Chee Seong Fong, Wei Wei Lo:

An improved I/O buffer correlation methodology between silicon and the SPICE model. 999-1002 - Yong Hong Poh, Chin Yin Chew, Kok Leong Hoi, Wei Pin Soo:

Interoperable physical design database between OpenAccess and Milkyway. 1003-1006 - Chi-Wei Fan, Jieh-Tsorng Wu:

ADC clock jitter measurement and correction using a stochastic TDC. 1007-1010 - Yang Jiang

, Kim-Fai Wong, Chen-Yan Cai, Sai-Weng Sin
, Seng-Pan U, Rui Paulo Martins:
A reduced jitter-sensitivity clock generation technique for continuous-time ΣΔ modulators. 1011-1014 - Akira Shikata, Ryota Sekimoto, Hiroki Ishikuro:

A 0.5V 65nm-CMOS single phase clocked bootstrapped switch with rise time accelerator. 1015-1018 - Satoshi Kumaki, Abul Hasan Johari, Takeshi Matsubara, Isamu Hayashi, Hiroki Ishikuro:

A 0.5V 6-bit scalable phase interpolator. 1019-1022 - M. Aimaduddin M. Y., Mohd Zain Ismail

, Abdul Halim Ali
, Suraya Mohammad
:
Elimination of echoes from voice communication. 1023-1026 - Satoshi Ito, Shigeyuki Nishimura, Haruo Kobayashi, Satoshi Uemori, Yohei Tan, Nobukazu Takai, Takahiro J. Yamaguchi, Kiichi Niitsu

:
Stochastic TDC architecture with self-calibration. 1027-1030 - Seyed Reza Kamel Tabbakh, R. Maarefdoust, Ng Chee Kyun, Borhanuddin Mohd Ali:

Environmental taxonomy of power scavenging techniques for autonomous self powered wireless sensors. 1031-1034 - Po-Chiao Lee, Wen-Yaw Chung, Mark Anthony Te:

Incremental delta-sigma A/D converter for ion-sensitive system application. 1035-1038 - Ye Shuqin, P. K. Chan:

A low-power switched-capacitor humidity sensor interface. 1039-1042 - Yoichi Tomioka

, Atsushi Takara, Hitoshi Kitazawa:
Travelling route of mobile surveillance camera. 1043-1046 - Paolo Angelo R. Fajardo, Rozelle C. Valdez, Marc Caesar R. Talampas, Michael Lochinvar S. Abundo:

A conductivity and temperature sensor array for detecting saltwater intrusion in shore-based communities. 1047-1050 - Mohammad Gholami

, Mohammad Sharifkhani, Saeed Saeedi
:
Modeling of DLL-based frequency multiplier in time and frequency domain with Matlab Simulink. 1051-1054 - Arjuna Madanayake

, Len T. Bruton:
Systolic-array 3D wave-digital beam filters. 1055-1058 - Richard Wee Tar Ng, Liter Siek

:
A simplified approach for baseband recovery in SDR architectures. 1059-1062 - Robert W. Mudrowsky, Ravi Prakash Ramachandran, Sachin S. Shetty

:
The affine transform and feature fusion for robust speaker identification in the presence of speech coding distortion. 1063-1066 - Mohd Amaluddin Yusoff, Zhuquan Zang:

Design of UWB waveforms for narrowband interferences suppression. 1067-1070 - Yue-Dar Jou, Fu-Kun Chen, Lo-Chyuan Su, Chao-Ming Sun:

Weighted least-squares design of IIR all-pass filters using a Lyapunov error criterion. 1071-1074 - Jin-Tai Yan, Kai-Ping Lu, Zhi-Wei Chen:

Routability-driven partitioning-based IO assignment for flip-chip designs. 1075-1078 - Shen-Fu Hsiao, Chia-Sheng Wen, Kun-Chih Chen

:
Design of table-based function evaluators with reduced memory size Using a bottom-up non-uniform segmentation method. 1079-1082 - Youhei Tsukamoto, Masao Yanagisawa, Tatsuo Ohtsuki, Nozomu Togawa

:
A fast selector-based subtract-multiplication unit and its application to Radix-2 butterfly unit. 1083-1086 - Yong-Ruei Huang, Jia-Hong Pan, Yi-Chang Lu

:
Thermal-aware router-sharing architecture for 3D Network-on-Chip designs. 1087-1090 - Pan Chen, Kui Dai, Dan Wu, Jinli Rao, Xuecheng Zou:

The parallel algorithm implementation of matrix multiplication based on ESCA. 1091-1094 - Balavelan Thanigaivelan, Adam Postula, Craig T. Jin

, André van Schaik
, Tara Julia Hamilton
:
Symbolic analysis of the Tau Cell log-domain filter using affine MOSFET models. 1095-1098 - Montree Kumngern

, Usa Torteanchai, Kobchai Dejhan:
Electronically tunable multiple-input single-output voltage-mode multifunction filter employing simple CMOS OTAs. 1099-1102 - Saumen Mondal, Kumar Vaibhav Srivastava

, Animesh Biswas:
A 600MHz, 6th order, highly linear Gm-C bandpass filter design. 1103-1106 - Zdenek Kolka, Dalibor Biolek

, Viera Biolková:
On accuracy of averaging for switched converters. 1107-1110 - Robabeh Amirkhanzadeh, Henrik Sjöland, Ajay Tikka, Mike Faulkner

:
Comparative analysis of switching performance of transistors in SOS process for RF applications. 1111-1114 - Shaiful J. Hashim, Mohammad Shabi Hashmi

, Johannes Benedikt
, Paul J. Tasker:
Effect of impedance variation around the fundamentals on PA distortions characteristics under wideband multi-tone stimulus. 1115-1118 - T. S. N. Murthy, Korrai Deergha Rao:

Performance of MB-OWDM UWB signals in wireless communications. 1119-1122 - Imran Baig

, Varun Jeoti:
A new ZCT precoding based SLM technique for PAPR reduction in OFDM systems. 1123-1126 - Anas Mohd Nazlee, Nor Hisham Hamid, Fawnizu Azmadi Hussin

, Noohul Basheer Zain Ali:
Space Vector PWM for PMSM simulation using Matlab Simulink. 1127-1130 - Imran Baig

, Varun Jeoti:
A new ZCT precoded OFDM system with pulse shaping: PAPR analysis. 1131-1134 - Jun Li, Ni Xu, Yuanfeng Sun, Woogeun Rhee

, Zhihua Wang:
Reconfigurable, fast AFC technique using code estimation and binary search algorithm for 0.2-6GHz software-defined radio frequency synthesis. 1135-1138 - Youhua Shi

, Kenta Tokumitsu, Nozomu Togawa
, Masao Yanagisawa, Tatsuo Ohtsuki:
VLSI implementation of a fast intra prediction algorithm for H.264/AVC encoding. 1139-1142 - Mai Y. Ching, Ang T. Boon, Chin K. Yeong, Fakhrul Zaman Rokhani

:
Interconnect area, delay and area-delay optimization for multi-level signaling on-chip bus. 1143-1146 - Fauziyah Salehuddin, Ibrahim Ahmad, Fazrena Azlee Hamid, Azami Zaharim:

Impact of HALO structure on threshold voltage and leakage current in 45nm NMOS device. 1147-1150 - Md. Tanvir Rahman, Torsten Lehmann:

A cryogenic D/A converter with novel charge injection reduction technique for silicon quantum computer controller circuit. 1151-1154 - Shengqing Shi, Xi Zhang, Rong Luo:

The thermal-aware floorplanning for 3D ICs using Carbon Nanotube. 1155-1158 - Kamel Mars

, Shoji Kawahito:
Low-noise readout circuits with a response time acceleration technique for high output impedance sensors. 1159-1162 - Wen-Yaw Chung, Jian-Ping Chang, Febus Reidj G. Cruz

:
Clock-gated and low-power standard cell library for ISFET Two-Point Calibration processor chip. 1163-1166 - Abigail R. Razon, Ma. Lourdes J. Vargas, Rowena Cristina L. Guevara, Prospero C. Naval:

Automated essay content analysis based on Concept Indexing with Fuzzy C-means clustering. 1167-1170 - Vinod V. Reddy

, V. Divya, Andy W. H. Khong, Boon Poh Ng:
Footstep detection and denoising using a single triaxial geophone. 1171-1174 - Wan Zakiah Wan Ismail, S. Abd. Manaf:

Study on coverage in Wireless Sensor Network using grid based strategy and Particle Swarm Optimization. 1175-1178 - Terence Chan:

Race logic synthesis for a multithreaded HDL/ESL simulator for SoC designs. 1179-1182 - Yuan-Teng Chang, Wei-Che Chen, Hung-Yue Tsai, Wei-Min Cheng, Chang-Jiu Chen, Fu-Chiung Cheng:

A low-latency GALS interface implementation. 1183-1186 - Andreas Genser, Christian Bachmann

, Christian Steger, Reinhold Weiss, Josef Haid:
Estimation-based run-time power profile flattening for RF-powered smart card systems. 1187-1190 - Wenjuan Zhang, Shefali Srivastava, Yajun Ha:

B*-tree based variability-aware floorplanning. 1191-1194 - Anurag Mahajan

, Basant K. Mohanty
:
Efficient VLSI architecture for implementation of 1-D discrete wavelet transform based on distributed arithmetic. 1195-1198 - Yingxi Lu, Keanhong Boey, Philip Hodgers, Máire O'Neill:

SEED masking implementations against power analysis attacks. 1199-1202 - Xin-Ru Lee, Hsie-Chia Chang, Chen-Yi Lee:

A low-power radix-4 Viterbi decoder based on DCVSPG pulsed latch with sharing technique. 1203-1206 - Pei-Yun Tsai, Hsiang-Wei Chang, Po-Hsien Hsieh, Jhen-Yu Hou, Kang-Yi Fan:

Baseband receiver design for 3GPP Long Term Evolution downlink OFDMA systems under fast-fading channels. 1207-1210 - Gudipati Kalyan, M. B. Srinivas:

An efficient ODT calibration scheme for improved signal integrity in memory interface. 1211-1214 - Kuang-Hao Lin, Jan-Dong Tseng:

Low-complexity architecture of CFO and IQI compensation in MIMO-OFDM systems. 1215-1218 - Satish Maheshwaram

, Gaurav Kaushal, S. K. Manhas:
A high performance vertical Si nanowire CMOS for ultra high density circuits. 1219-1222 - Gargi Khanna

, Rajeevan Chandel
, Ashwani Kumar Chandel
:
Impact of skew and jitter on the performance of VLSI interconnects. 1223-1226 - Haipeng Zhang, Liang Zhang, Dejun Wang, Guohua Liu, Mi Lin, Xiaoyan Niu, Lingyan Fan:

Negative ESD robustness of a novel anti-ESD TGFPTD SOI LDMOS. 1227-1230

manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.


Google
Google Scholar
Semantic Scholar
Internet Archive Scholar
CiteSeerX
ORCID














